summaryrefslogtreecommitdiff
path: root/CIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors
diff options
context:
space:
mode:
Diffstat (limited to 'CIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors')
-rw-r--r--CIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors/USER_QOS_PROFILES.xml184
-rwxr-xr-xCIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors/run_test_dds.pl47
-rwxr-xr-xCIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors/run_test_ndds.pl47
3 files changed, 0 insertions, 278 deletions
diff --git a/CIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors/USER_QOS_PROFILES.xml b/CIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors/USER_QOS_PROFILES.xml
deleted file mode 100644
index ed1ff76010e..00000000000
--- a/CIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors/USER_QOS_PROFILES.xml
+++ /dev/null
@@ -1,184 +0,0 @@
-<?xml version="1.0" encoding="utf-8"?>
-
-<!-- -->
-
-<!--
-
- Description
- XML QoS Profile
-
- The QoS configuration of the DDS entities in the generated example is
- loaded from this file.
-
- This file is used only when it is in the current working directory
- or when the environment variable
- NDDS_QOS_PROFILES is defined and points to this file.
-
- For more information about XML QoS Profiles see Chapter 15 in the
- RTI Data Distribution Service user manual.
- -->
-<dds xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
- xsi:noNamespaceSchemaLocation="/home/ndds/ndds.4.5b/scripts/../resource/rtiddsgen/../qos_profiles_4.5b/schema/rti_dds_qos_profiles.xsd"
- version="4.5b">
-
- <!-- QoS Library containing the QoS profile used in the generated example.
-
- A QoS library is a named set of QoS profiles.
- -->
- <qos_library name="Latency_Library">
- <!-- QoS profile used to configure reliable communication between the DataWriter
- and DataReader created in the example code.
-
- A QoS profile groups a set of related QoS.
- -->
- <qos_profile name="UDPv4QoS" is_default_qos="false">
- <!-- QoS used to configure the data writer created in the example code -->
- <participant_qos>
- <receiver_pool>
- <!-- (payload) 8192 + (est. overhead) 8 + 512 -->
- <buffer_size>8712</buffer_size>
- </receiver_pool>
-
- <transport_builtin>
- <mask>DDS_TRANSPORTBUILTIN_UDPv4</mask>
- </transport_builtin>
-
- <property>
- <value>
- <element>
- <name>dds.transport.UDPv4.builtin.parent.message_size_max</name>
- <value>8712</value></element>
- <element>
- <name>dds.transport.UDPv4.builtin.recv_socket_buffer_size</name>
- <value>17424</value></element>
- <element>
- <name>dds.transport.UDPv4.builtin.send_socket_buffer_size</name>
- <value>8712</value></element>
- </value>
- </property>
- </participant_qos>
-
- <datawriter_qos>
- <reliability>
- <kind>BEST_EFFORT_RELIABILITY_QOS</kind>
- </reliability>
- <history><kind>DDS_KEEP_LAST_HISTORY_QOS</kind>
- <depth>1</depth>
- </history>
- <durability>
- <kind>VOLATILE_DURABILITY_QOS</kind>
- </durability>
- <resource_limits>
- <max_instances>1</max_instances>
- <initial_instances>1</initial_instances>
- <max_samples>3</max_samples>
- <initial_samples>3</initial_samples>
- <max_samples_per_instance>3</max_samples_per_instance>
- </resource_limits>
- <protocol>
- <rtps_reliable_writer>
- <!-- piggyback every sample -->
- <heartbeats_per_max_samples>3</heartbeats_per_max_samples>
- <max_nack_response_delay>
- <sec>0</sec>
- <nanosec>0</nanosec>
- </max_nack_response_delay>
- <!-- 1 year -->
- <heartbeat_period>
- <sec>604800</sec>
- <nanosec>1000000</nanosec>
- </heartbeat_period>
- <!-- 1 ms -->
- <fast_heartbeat_period>
- <sec>0</sec>
- <nanosec>1000000</nanosec>
- </fast_heartbeat_period>
- </rtps_reliable_writer>
- </protocol>
- </datawriter_qos>
- <datareader_qos>
- <reliability>
- <kind>BEST_EFFORT_RELIABILITY_QOS</kind>
- </reliability>
- <history>
- <kind>DDS_KEEP_LAST_HISTORY_QOS</kind>
- <depth>1</depth>
- </history>
- <resource_limits>
- <initial_samples>100</initial_samples>
- <initial_instances>1</initial_instances>
- <max_samples>LENGTH_UNLIMITED</max_samples>
- <max_instances>1</max_instances>
- <max_samples_per_instance>LENGTH_UNLIMITED</max_samples_per_instance>
- </resource_limits>
- <durability>
- <kind>VOLATILE_DURABILITY_QOS</kind>
- <direct_communication>true</direct_communication>
- </durability>
- <protocol>
- <rtps_reliable_reader>
- <max_heartbeat_response_delay>
- <sec>0</sec>
- <nanosec>0</nanosec>
- </max_heartbeat_response_delay>
- </rtps_reliable_reader>
- </protocol>
- </datareader_qos>
- </qos_profile>
-
- <qos_profile name="SharedMemQos" is_default_qos="false">
- <participant_qos>
- <transport_builtin>
- <mask>DDS_TRANSPORTBUILTIN_SHMEM</mask>
- </transport_builtin>
- <receiver_pool>
- <buffer_size>8712</buffer_size>
- </receiver_pool>
- <property>
- <value>
- <element>
- <name>dds.transport.shmem.builtin.parent.message_size_max</name>
- <value>8712</value>
- </element>
- <element>
- <name>dds.transport.shmem.builtin.receive_buffer_size</name>
- <value>17424</value>
- </element>
- </value>
- </property>
- </participant_qos>
- <datawriter_qos>
- <reliability>
- <kind>RELIABLE_RELIABILITY_QOS</kind>
- </reliability>
- <durability>
- <kind>VOLATILE_DURABILITY_QOS</kind>
- </durability>
- <resource_limits>
- <max_instances>1</max_instances>
- <initial_instances>1</initial_instances>
- <max_samples>LENGTH_UNLIMITED</max_samples>
- <initial_samples>100</initial_samples>
- <max_samples_per_instance>LENGTH_UNLIMITED</max_samples_per_instance>
- </resource_limits>
- </datawriter_qos>
- <datareader_qos>
- <reliability>
- <kind>BEST_EFFORT_RELIABILITY_QOS</kind>
- </reliability>
- <resource_limits>
- <initial_samples>100</initial_samples>
- <initial_instances>1</initial_instances>
- <max_samples>LENGTH_UNLIMITED</max_samples>
- <max_instances>1</max_instances>
- <max_samples_per_instance>LENGTH_UNLIMITED</max_samples_per_instance>
- </resource_limits>
- <durability>
- <kind>VOLATILE_DURABILITY_QOS</kind>
- <direct_communication>true</direct_communication>
- </durability>
- </datareader_qos>
- </qos_profile>
-
- </qos_library>
-</dds>
diff --git a/CIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors/run_test_dds.pl b/CIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors/run_test_dds.pl
deleted file mode 100755
index 868093f722c..00000000000
--- a/CIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors/run_test_dds.pl
+++ /dev/null
@@ -1,47 +0,0 @@
-eval '(exit $?0)' && eval 'exec perl -S $0 ${1+"$@"}'
- & eval 'exec perl -S $0 $argv:q'
- if 0;
-
-# -*- perl -*-
-
-use lib "$ENV{'ACE_ROOT'}/bin";
-use PerlACE::TestTarget;
-
-foreach $i (@ARGV) {
- if ($i eq '-b') {
- $rw_extra = '-b:';
- }
-}
-
-$nr_runs = 2;
-@profiles = ("Latency_Library#UDPv4QoS", "Latency_Library#SharedMemQos");
-
-$CIAO_ROOT = "$ENV{'CIAO_ROOT'}";
-$DDS4CCM_ROOT = "$CIAO_ROOT/connectors/dds4ccm";
-
-$tg_sender = PerlACE::TestTarget::create_target (1) || die "Create target for EM failed\n";
-$tg_receiver = PerlACE::TestTarget::create_target (1) || die "Create target for EM failed\n";
-
-$tg_sender->AddLibPath ('../lib');
-$tg_receiver->AddLibPath ('../lib');
-
-sub run_tests {
- for ($i = 0; $i < $nr_runs; ++$i) {
- $qos = $profiles[$i];
-
- print "Start receiver with QoS profile <$qos>\n";
- $R = $tg_receiver->CreateProcess ("$DDS4CCM_ROOT/performance-tests/DDSLatency/DDS_Receiver/DDS_receiver", "-q $qos $rw_extra");
-
- $R->Spawn();
-
- print "Start sender with QoS profile <$qos>\n";
- $S = $tg_sender->CreateProcess ("$DDS4CCM_ROOT/performance-tests/DDSLatency/DDS_Sender/DDS_Sender", "-q $qos $rw_extra");
-
- $S->SpawnWaitKill ($tg_sender->ProcessStartWaitInterval () + 180);
- $R->Kill ();
- }
-}
-
-run_tests ();
-
-exit 0;
diff --git a/CIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors/run_test_ndds.pl b/CIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors/run_test_ndds.pl
deleted file mode 100755
index bf0c681d5d3..00000000000
--- a/CIAO/connectors/dds4ccm/performance-tests/DDSLatency/descriptors/run_test_ndds.pl
+++ /dev/null
@@ -1,47 +0,0 @@
-eval '(exit $?0)' && eval 'exec perl -S $0 ${1+"$@"}'
- & eval 'exec perl -S $0 $argv:q'
- if 0;
-
-# -*- perl -*-
-
-use lib "$ENV{'ACE_ROOT'}/bin";
-use PerlACE::TestTarget;
-
-foreach $i (@ARGV) {
- if ($i eq '-b') {
- $rw_extra = '-b:';
- }
-}
-
-$nr_runs = 2;
-@profiles = ("Latency_Library#UDPv4QoS", "Latency_Library#SharedMemQos");
-
-$CIAO_ROOT = "$ENV{'CIAO_ROOT'}";
-$DDS4CCM_ROOT = "$CIAO_ROOT/connectors/dds4ccm";
-
-$tg_sender = PerlACE::TestTarget::create_target (1) || die "Create target for EM failed\n";
-$tg_receiver = PerlACE::TestTarget::create_target (1) || die "Create target for EM failed\n";
-
-$tg_sender->AddLibPath ('../lib');
-$tg_receiver->AddLibPath ('../lib');
-
-sub run_tests {
- for ($i = 0; $i < $nr_runs; ++$i) {
- $qos = $profiles[$i];
-
- print "Start receiver with QoS profile <$qos>\n";
- $R = $tg_receiver->CreateProcess ("$DDS4CCM_ROOT/performance-tests/DDSLatency/DDS_Receiver/DDS_receiver", "-q $qos $rw_extra");
-
- $R->Spawn();
-
- print "Start sender with QoS profile <$qos>\n";
- $S = $tg_sender->CreateProcess ("$DDS4CCM_ROOT/performance-tests/DDSLatency/DDS_Sender/DDS_NDDS_Sender", "-q $qos $rw_extra");
-
- $S->SpawnWaitKill ($tg_sender->ProcessStartWaitInterval () + 180);
- $R->Kill ();
- }
-}
-
-run_tests ();
-
-exit 0;