summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorJohn R Barker <john@johnrbarker.com>2017-09-14 11:42:20 +0100
committerToshio Kuratomi <a.badger@gmail.com>2017-09-14 07:43:27 -0700
commit2439dc24e97cede84f90c4bc6c730c6350e94058 (patch)
treecc904e0b1fbba838febc6bf83e3bcf5819af0b96
parenta886b2b11ed1f762265127dcd9eb5237613fbb8d (diff)
downloadansible-2439dc24e97cede84f90c4bc6c730c6350e94058.tar.gz
Specify default delay (DOCUMENTATION only change) (#30344)
* Specify default delay Also clarify that tx_rate is in bps * aggregates -> aggregate (cherry picked from commit 4c9ad00c501901d40fee043067a285b1dfe1c9fe)
-rw-r--r--lib/ansible/modules/network/eos/eos_vlan.py6
-rw-r--r--lib/ansible/modules/network/eos/eos_vrf.py7
-rw-r--r--lib/ansible/modules/network/interface/net_interface.py5
-rw-r--r--lib/ansible/modules/network/interface/net_linkagg.py2
-rw-r--r--lib/ansible/modules/network/ios/ios_interface.py1
-rw-r--r--lib/ansible/modules/network/ios/ios_static_route.py2
-rw-r--r--lib/ansible/modules/network/ios/ios_vrf.py1
-rw-r--r--lib/ansible/modules/network/iosxr/iosxr_interface.py1
-rw-r--r--lib/ansible/modules/network/junos/junos_interface.py1
-rw-r--r--lib/ansible/modules/network/layer2/net_vlan.py2
-rw-r--r--lib/ansible/modules/network/layer3/net_l3_interface.py2
-rw-r--r--lib/ansible/modules/network/layer3/net_vrf.py2
-rw-r--r--lib/ansible/modules/network/routing/net_static_route.py2
-rw-r--r--lib/ansible/modules/network/system/net_logging.py2
-rw-r--r--lib/ansible/modules/network/vyos/vyos_interface.py6
15 files changed, 29 insertions, 13 deletions
diff --git a/lib/ansible/modules/network/eos/eos_vlan.py b/lib/ansible/modules/network/eos/eos_vlan.py
index 1b8ac5a32a..c1e7a3cfb3 100644
--- a/lib/ansible/modules/network/eos/eos_vlan.py
+++ b/lib/ansible/modules/network/eos/eos_vlan.py
@@ -48,13 +48,13 @@ options:
- List of interfaces that should be associated to the VLAN.
delay:
description:
- - Delay the play should wait to check for declaratie intent params values.
+ - Delay the play should wait to check for declarative intent params values.
default: 10
aggregate:
- description: List of VLANs definitions
+ description: List of VLANs definitions.
purge:
description:
- - Purge VLANs not defined in the aggregates parameter.
+ - Purge VLANs not defined in the I(aggregate) parameter.
default: no
state:
description:
diff --git a/lib/ansible/modules/network/eos/eos_vrf.py b/lib/ansible/modules/network/eos/eos_vrf.py
index 5100d08939..9c7798a95b 100644
--- a/lib/ansible/modules/network/eos/eos_vrf.py
+++ b/lib/ansible/modules/network/eos/eos_vrf.py
@@ -51,8 +51,13 @@ options:
description: List of VRFs definitions
purge:
description:
- - Purge VRFs not defined in the aggregates parameter.
+ - Purge VRFs not defined in the I(aggregate) parameter.
default: no
+ delay:
+ description:
+ - Time in seconds to wait before checking for the operational state on remote
+ device. This wait is applicable for operational state arguments.
+ default: 10
state:
description:
- State of the VRF configuration.
diff --git a/lib/ansible/modules/network/interface/net_interface.py b/lib/ansible/modules/network/interface/net_interface.py
index b25a9b8e5b..7033030319 100644
--- a/lib/ansible/modules/network/interface/net_interface.py
+++ b/lib/ansible/modules/network/interface/net_interface.py
@@ -46,15 +46,16 @@ options:
choices: ['full', 'half', 'auto']
tx_rate:
description:
- - Transmit rate.
+ - Transmit rate in bits per second (bps).
rx_rate:
description:
- - Receiver rate.
+ - Receiver rate in bits per second (bps).
delay:
description:
- Time in seconds to wait before checking for the operational state on remote
device. This wait is applicable for operational state argument which are
I(state) with values C(up)/C(down), I(tx_rate) and I(rx_rate).
+ default: 10
aggregate:
description: List of Interfaces definitions.
purge:
diff --git a/lib/ansible/modules/network/interface/net_linkagg.py b/lib/ansible/modules/network/interface/net_linkagg.py
index 7c38b0282b..45681cbef2 100644
--- a/lib/ansible/modules/network/interface/net_linkagg.py
+++ b/lib/ansible/modules/network/interface/net_linkagg.py
@@ -48,7 +48,7 @@ options:
description: List of link aggregation definitions.
purge:
description:
- - Purge link aggregation groups not defined in the aggregates parameter.
+ - Purge link aggregation groups not defined in the I(aggregate) parameter.
default: no
state:
description:
diff --git a/lib/ansible/modules/network/ios/ios_interface.py b/lib/ansible/modules/network/ios/ios_interface.py
index d3a9cd468e..a7749b83a1 100644
--- a/lib/ansible/modules/network/ios/ios_interface.py
+++ b/lib/ansible/modules/network/ios/ios_interface.py
@@ -70,6 +70,7 @@ options:
- Time in seconds to wait before checking for the operational state on remote
device. This wait is applicable for operational state argument which are
I(state) with values C(up)/C(down), I(tx_rate) and I(rx_rate).
+ default: 10
state:
description:
- State of the Interface configuration, C(up) means present and
diff --git a/lib/ansible/modules/network/ios/ios_static_route.py b/lib/ansible/modules/network/ios/ios_static_route.py
index ed3c08c0cb..d9ce02fb7b 100644
--- a/lib/ansible/modules/network/ios/ios_static_route.py
+++ b/lib/ansible/modules/network/ios/ios_static_route.py
@@ -50,7 +50,7 @@ options:
- Admin distance of the static route.
default: 1
aggregate:
- description: List of static route definitions
+ description: List of static route definitions.
state:
description:
- State of the static route configuration.
diff --git a/lib/ansible/modules/network/ios/ios_vrf.py b/lib/ansible/modules/network/ios/ios_vrf.py
index 2d0e9cdd98..e9acb2b248 100644
--- a/lib/ansible/modules/network/ios/ios_vrf.py
+++ b/lib/ansible/modules/network/ios/ios_vrf.py
@@ -69,6 +69,7 @@ options:
- Time in seconds to wait before checking for the operational state on remote
device.
version_added: "2.4"
+ default: 10
purge:
description:
- Instructs the module to consider the
diff --git a/lib/ansible/modules/network/iosxr/iosxr_interface.py b/lib/ansible/modules/network/iosxr/iosxr_interface.py
index 5c5fa202b7..68d7f91111 100644
--- a/lib/ansible/modules/network/iosxr/iosxr_interface.py
+++ b/lib/ansible/modules/network/iosxr/iosxr_interface.py
@@ -58,6 +58,7 @@ options:
- Time in seconds to wait before checking for the operational state on remote
device. This wait is applicable for operational state argument which are
I(state) with values C(up)/C(down), I(tx_rate) and I(rx_rate).
+ default: 10
state:
description:
- State of the Interface configuration, C(up) means present and
diff --git a/lib/ansible/modules/network/junos/junos_interface.py b/lib/ansible/modules/network/junos/junos_interface.py
index 0fa7020254..ac39a9b05f 100644
--- a/lib/ansible/modules/network/junos/junos_interface.py
+++ b/lib/ansible/modules/network/junos/junos_interface.py
@@ -55,6 +55,7 @@ options:
- Time in seconds to wait before checking for the operational state on remote
device. This wait is applicable for operational state argument which are
I(state) with values C(up)/C(down), I(tx_rate) and I(rx_rate).
+ default: 10
aggregate:
description: List of Interfaces definitions.
state:
diff --git a/lib/ansible/modules/network/layer2/net_vlan.py b/lib/ansible/modules/network/layer2/net_vlan.py
index ebecb51807..df8a8cbb82 100644
--- a/lib/ansible/modules/network/layer2/net_vlan.py
+++ b/lib/ansible/modules/network/layer2/net_vlan.py
@@ -36,7 +36,7 @@ options:
description: List of VLANs definitions.
purge:
description:
- - Purge VLANs not defined in the aggregates parameter.
+ - Purge VLANs not defined in the I(aggregate) parameter.
default: no
state:
description:
diff --git a/lib/ansible/modules/network/layer3/net_l3_interface.py b/lib/ansible/modules/network/layer3/net_l3_interface.py
index c4f05d4b03..db842e5046 100644
--- a/lib/ansible/modules/network/layer3/net_l3_interface.py
+++ b/lib/ansible/modules/network/layer3/net_l3_interface.py
@@ -36,7 +36,7 @@ options:
description: List of L3 interfaces definitions
purge:
description:
- - Purge L3 interfaces not defined in the aggregates parameter.
+ - Purge L3 interfaces not defined in the I(aggregate) parameter.
default: no
state:
description:
diff --git a/lib/ansible/modules/network/layer3/net_vrf.py b/lib/ansible/modules/network/layer3/net_vrf.py
index aa385b010d..b2bca19a48 100644
--- a/lib/ansible/modules/network/layer3/net_vrf.py
+++ b/lib/ansible/modules/network/layer3/net_vrf.py
@@ -33,7 +33,7 @@ options:
description: List of VRFs definitions
purge:
description:
- - Purge VRFs not defined in the aggregates parameter.
+ - Purge VRFs not defined in the I(aggregate) parameter.
default: no
state:
description:
diff --git a/lib/ansible/modules/network/routing/net_static_route.py b/lib/ansible/modules/network/routing/net_static_route.py
index aaa597b3b7..2c98bb44e4 100644
--- a/lib/ansible/modules/network/routing/net_static_route.py
+++ b/lib/ansible/modules/network/routing/net_static_route.py
@@ -42,7 +42,7 @@ options:
description: List of static route definitions
purge:
description:
- - Purge static routes not defined in the aggregates parameter.
+ - Purge static routes not defined in the I(aggregate) parameter.
default: no
state:
description:
diff --git a/lib/ansible/modules/network/system/net_logging.py b/lib/ansible/modules/network/system/net_logging.py
index 3c967c030c..0f7f7fbdc2 100644
--- a/lib/ansible/modules/network/system/net_logging.py
+++ b/lib/ansible/modules/network/system/net_logging.py
@@ -41,7 +41,7 @@ options:
description: List of logging definitions.
purge:
description:
- - Purge logging not defined in the aggregates parameter.
+ - Purge logging not defined in the I(aggregate) parameter.
default: no
state:
description:
diff --git a/lib/ansible/modules/network/vyos/vyos_interface.py b/lib/ansible/modules/network/vyos/vyos_interface.py
index 8325ea6133..d2849b80b4 100644
--- a/lib/ansible/modules/network/vyos/vyos_interface.py
+++ b/lib/ansible/modules/network/vyos/vyos_interface.py
@@ -57,6 +57,12 @@ options:
- Interface link status.
default: auto
choices: ['full', 'half', 'auto']
+ delay:
+ description:
+ - Time in seconds to wait before checking for the operational state on remote
+ device. This wait is applicable for operational state argument which are
+ I(state) with values C(up)/C(down) and I(neighbors).
+ default: 10
aggregate:
description: List of Interfaces definitions.
state: