summaryrefslogtreecommitdiff
path: root/gdb/testsuite/gdb.chill/builtins.exp
blob: 87fe3af1f94a47332ce7f5bff5e62da84b2d007a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
# Copyright 1995, 1996, 1997 Free Software Foundation, Inc.

# This program is free software; you can redistribute it and/or modify
# it under the terms of the GNU General Public License as published by
# the Free Software Foundation; either version 2 of the License, or
# (at your option) any later version.
# 
# This program is distributed in the hope that it will be useful,
# but WITHOUT ANY WARRANTY; without even the implied warranty of
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
# GNU General Public License for more details.
# 
# You should have received a copy of the GNU General Public License
# along with this program; if not, write to the Free Software
# Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA.  

# Please email any bugs, comments, and/or additions to this file to:
# bug-gdb@prep.ai.mit.edu

# This file tests various Chill values, expressions, and types.

if $tracelevel then {
	strace $tracelevel
}

if [skip_chill_tests] then { continue }

set testfile "builtins"
set srcfile ${srcdir}/$subdir/${testfile}.ch
set binfile ${objdir}/${subdir}/${testfile}.exe
if  { [compile "${srcfile} -g -w -o ${binfile} ${CHILL_RT0} ${CHILL_LIB}"] != "" } {
    perror "Couldn't compile ${srcfile}"
    return -1
}

# Set the current language to chill.  This counts as a test.  If it
# fails, then we skip the other tests.

proc set_lang_chill {} {
    global gdb_prompt
    global binfile objdir subdir

    verbose "loading file '$binfile'"
    gdb_load $binfile
    send_gdb "set language chill\n"
    gdb_expect {
	-re ".*$gdb_prompt $" {}
	timeout { fail "set language chill (timeout)" ; return 0 }
    }

    send_gdb "show language\n"
    gdb_expect {
	-re ".* source language is \"chill\".*$gdb_prompt $" {
	    pass "set language to \"chill\""
	    send_gdb "break xx_\n"
	    gdb_expect {
		-re ".*$gdb_prompt $" {
		    send_gdb "run\n" 
		    gdb_expect -re ".*$gdb_prompt $" {}
		    return 1
		}
		timeout {
		    fail "can't set breakpoint (timeout)"
		    return 0
		}
	    }
	}
	-re ".*$gdb_prompt $" {
	    fail "setting language to \"chill\""
	    return 0
	}
	timeout {
	    fail "can't show language (timeout)"
	    return 0
	}
    }
}

# Testing printing of a specific value.  Increment passcount for
# success or issue fail message for failure.  In both cases, return
# a 1 to indicate that more tests can proceed.  However a timeout
# is a serious error, generates a special fail message, and causes
# a 0 to be returned to indicate that more tests are likely to fail
# as well.
#
# Args are:
#
#	First one is string to send_gdb to gdb
#	Second one is string to match gdb result to
#	Third one is an optional message to be printed

proc test_print_accept { args } {
    global gdb_prompt
    global passcount
    global verbose

    if [llength $args]==3 then {
	set message [lindex $args 2]
    } else {
	set message [lindex $args 0]
    }
    set sendthis [lindex $args 0]
    set expectthis [lindex $args 1]
    set result [gdb_test $sendthis ".* = ${expectthis}" $message]
    if $result==0 {incr passcount}
    return $result
}

proc test_lower {} {
    global passcount

    verbose "testing builtin LOWER"
    set passcount 0

    # discrete mode names
    test_print_accept "print lower(bool)" "FALSE"
    test_print_accept "print lower(char)" {'\^[(]0[)]'}
    test_print_accept "print lower(byte)" "-128"
    test_print_accept "print lower(ubyte)" "0"
    if [istarget "alpha-*-*"] then {
	test_print_accept "print lower(int)" "-2147483648"
    } else {
	test_print_accept "print lower(int)" "-32768"
    }
    test_print_accept "print lower(uint)" "0"
    setup_xfail "alpha-*-*"
    test_print_accept "print lower(long)" "-2147483648"
    test_print_accept "print lower(ulong)" "0"
    test_print_accept "print lower(m_set)" "e1"
    test_print_accept "print lower(m_set_range)" "e2"
    test_print_accept "print lower(m_numbered_set)" "n2"
    test_print_accept "print lower(m_char_range)" "'A'"
    test_print_accept "print lower(m_bool_range)" "FALSE"
    test_print_accept "print lower(m_long_range)" "255"
    test_print_accept "print lower(m_range)" "12"

    # discrete locations
    test_print_accept "print lower(v_bool)" "FALSE"
    test_print_accept "print lower(v_char)" {'\^[(]0[)]'}
    test_print_accept "print lower(v_byte)" "-128"
    test_print_accept "print lower(v_ubyte)" "0"
    if [istarget "alpha-*-*"] then {
	test_print_accept "print lower(v_int)" "-2147483648"
    } else {
	test_print_accept "print lower(v_int)" "-32768"
    }
    test_print_accept "print lower(v_uint)" "0"
    setup_xfail "alpha-*-*"
    test_print_accept "print lower(v_long)" "-2147483648"
    test_print_accept "print lower(v_ulong)" "0"
    test_print_accept "print lower(v_set)" "e1"
    test_print_accept "print lower(v_set_range)" "e2"
    test_print_accept "print lower(v_numbered_set)" "n2"
    test_print_accept "print lower(v_char_range)" "'A'"
    test_print_accept "print lower(v_bool_range)" "FALSE"
    test_print_accept "print lower(v_long_range)" "255"
    test_print_accept "print lower(v_range)" "12"

    # string mode names
    test_print_accept "print lower(m_chars)" "0"
    test_print_accept "print lower(m_chars_v)" "0"
    test_print_accept "print lower(m_bits)" "0"

    # string locations
    test_print_accept "print lower(v_chars)" "0"
    test_print_accept "print lower(v_chars_v)" "0"
    test_print_accept "print lower(v_bits)" "0"

    # string expressions
    test_print_accept "print lower(\"abcd\")" "0"
    test_print_accept "print lower(B'010101')" "0"

    # array mode name
    test_print_accept "print lower(m_arr)" "1";
    test_print_accept "print lower(m_char_arr)" {'\^[(]0[)]'}
    test_print_accept "print lower(m_bool_arr)" "FALSE"
    if [istarget "alpha-*-*"] then {
	test_print_accept "print lower(m_int_arr)" "-2147483648"
    } else {
	test_print_accept "print lower(m_int_arr)" "-32768"
    }
    test_print_accept "print lower(m_set_arr)" "e1"
    test_print_accept "print lower(m_set_range_arr)" "e2"
    test_print_accept "print lower(m_numbered_set_arr)" "n2"
    test_print_accept "print lower(m_char_range_arr)" "'A'"
    test_print_accept "print lower(m_bool_range_arr)" "FALSE"
    test_print_accept "print lower(m_long_range_arr)" "255"
    test_print_accept "print lower(m_range_arr)" "12"

    # array locations
    test_print_accept "print lower(v_arr)" "1";
    test_print_accept "print lower(v_char_arr)" {'\^[(]0[)]'}
    test_print_accept "print lower(v_bool_arr)" "FALSE"
    if [istarget "alpha-*-*"] then {
	test_print_accept "print lower(v_int_arr)" "-2147483648"
    } else {
	test_print_accept "print lower(v_int_arr)" "-32768"
    }
    test_print_accept "print lower(v_set_arr)" "e1"
    test_print_accept "print lower(v_set_range_arr)" "e2"
    test_print_accept "print lower(v_numbered_set_arr)" "n2"
    test_print_accept "print lower(v_char_range_arr)" "'A'"
    test_print_accept "print lower(v_bool_range_arr)" "FALSE"
    test_print_accept "print lower(v_long_range_arr)" "255"
    test_print_accept "print lower(v_range_arr)" "12"
}

proc test_upper {} {
    global passcount

    verbose "testing builtin UPPER"
    set passcount 0

    # discrete mode names
    test_print_accept "print upper(bool)" "TRUE"
    test_print_accept "print upper(char)" {'\^[(]255[)]'}
    test_print_accept "print upper(byte)" "127"
    test_print_accept "print upper(ubyte)" "255"
    if [istarget "alpha-*-*"] then {
	test_print_accept "print upper(int)" "2147483647"
	test_print_accept "print upper(uint)" "4294967295"
	setup_xfail "alpha-*-*"
	test_print_accept "print upper(long)" "4294967295"
	test_print_accept "print upper(ulong)" "18446744073709551615"
    } else {
	test_print_accept "print upper(int)" "32767"
	test_print_accept "print upper(uint)" "65535"
	test_print_accept "print upper(long)" "2147483647"
	test_print_accept "print upper(ulong)" "4294967295"
    }
    test_print_accept "print upper(m_set)" "e6"
    test_print_accept "print upper(m_set_range)" "e5"
    test_print_accept "print upper(m_numbered_set)" "n5"
    test_print_accept "print upper(m_char_range)" "'Z'"
    test_print_accept "print upper(m_bool_range)" "FALSE"
    test_print_accept "print upper(m_long_range)" "3211"
    test_print_accept "print upper(m_range)" "28"

    # discrete locations
    test_print_accept "print upper(v_bool)" "TRUE"
    test_print_accept "print upper(v_char)" {'\^[(]255[)]'}
    test_print_accept "print upper(v_byte)" "127"
    test_print_accept "print upper(v_ubyte)" "255"
    if [istarget "alpha-*-*"] then {
	test_print_accept "print upper(v_int)" "2147483647"
	test_print_accept "print upper(v_uint)" "4294967295"
	setup_xfail "alpha-*-*"
	test_print_accept "print upper(v_long)" "4294967295"
	test_print_accept "print upper(v_ulong)" "18446744073709551615"
    } else {
	test_print_accept "print upper(v_int)" "32767"
	test_print_accept "print upper(v_uint)" "65535"
	test_print_accept "print upper(v_long)" "2147483647"
	test_print_accept "print upper(v_ulong)" "4294967295"
    }
    test_print_accept "print upper(v_set)" "e6"
    test_print_accept "print upper(v_set_range)" "e5"
    test_print_accept "print upper(v_numbered_set)" "n5"
    test_print_accept "print upper(v_char_range)" "'Z'"
    test_print_accept "print upper(v_bool_range)" "FALSE"
    test_print_accept "print upper(v_long_range)" "3211"
    test_print_accept "print upper(v_range)" "28"

    # string mode names
    test_print_accept "print upper(m_chars)" "19"
    test_print_accept "print upper(m_chars_v)" "19"
    test_print_accept "print upper(m_bits)" "9"

    # string locations
    test_print_accept "print upper(v_chars)" "19"
    test_print_accept "print upper(v_chars_v)" "19"
    test_print_accept "print upper(v_bits)" "9"

    # string expressions
    test_print_accept "print upper(\"abcd\")" "3"
    test_print_accept "print upper(B'010101')" "5"

    # array mode name
    test_print_accept "print upper(m_arr)" "10";
    test_print_accept "print upper(m_char_arr)" {'\^[(]255[)]'}
    test_print_accept "print upper(m_bool_arr)" "TRUE"
    if [istarget "alpha-*-*"] then {
	test_print_accept "print upper(m_int_arr)" "2147483647"
    } else {
	test_print_accept "print upper(m_int_arr)" "32767"
    }
    test_print_accept "print upper(m_set_arr)" "e6"
    test_print_accept "print upper(m_set_range_arr)" "e5"
    test_print_accept "print upper(m_numbered_set_arr)" "n5"
    test_print_accept "print upper(m_char_range_arr)" "'Z'"
    test_print_accept "print upper(m_bool_range_arr)" "FALSE"
    test_print_accept "print upper(m_long_range_arr)" "3211"
    test_print_accept "print upper(m_range_arr)" "28"

    # array locations
    test_print_accept "print upper(v_arr)" "10";
    test_print_accept "print upper(v_char_arr)" {'\^[(]255[)]'}
    test_print_accept "print upper(v_bool_arr)" "TRUE"
    if [istarget "alpha-*-*"] then {
	test_print_accept "print upper(v_int_arr)" "2147483647"
    } else {
	test_print_accept "print upper(v_int_arr)" "32767"
    }
    test_print_accept "print upper(v_set_arr)" "e6"
    test_print_accept "print upper(v_set_range_arr)" "e5"
    test_print_accept "print upper(v_numbered_set_arr)" "n5"
    test_print_accept "print upper(v_char_range_arr)" "'Z'"
    test_print_accept "print upper(v_bool_range_arr)" "FALSE"
    test_print_accept "print upper(v_long_range_arr)" "3211"
    test_print_accept "print upper(v_range_arr)" "28"
}

proc test_length {} {
    global passcount

    verbose "testing builtin LENGTH"
    set passcount 0

    # string locations
    test_print_accept "print length(v_chars)" "20"
    test_print_accept "print length(v_chars_v)" "7";
    test_print_accept "print length(v_bits)" "10";

    # string expressions
    test_print_accept "print length(\"the quick brown fox ...\")" "23"
    test_print_accept "print length(B'010101010101')" "12"
    test_print_accept "print length(\"foo \" // \"bar\")" "7"

    # check some failures
    setup_xfail "*-*-*"
    test_print_accept "print length(m_chars)" "typename in invalid context"
    setup_xfail "*-*-*"
    test_print_accept "print length(v_byte)" "bad argument to LENGTH builtin"
    setup_xfail "*-*-*"
    test_print_accept "print length(b'000000' // b'111111')" "12"
}

proc test_size {} {
    global passcount

    verbose "testing builtin SIZE"
    set passcount 0

    # modes
    test_print_accept "print size(bool)" "1"
    test_print_accept "print size(char)" "1"
    test_print_accept "print size(byte)" "1"
    if [istarget "alpha-*-*"] then {
	test_print_accept "print size(int)" "4"
	test_print_accept "print size(ulong)" "8"
	test_print_accept "print size(ptr)" "8"
	test_print_accept "print size(m_chars_v)" "24"
	test_print_accept "print size(m_struct)" "40"
    } else {
	test_print_accept "print size(int)" "2"
	test_print_accept "print size(ulong)" "4"
	test_print_accept "print size(ptr)" "4"
	test_print_accept "print size(m_chars_v)" "22"
	test_print_accept "print size(m_struct)" "36"
    }
    test_print_accept "print size(m_set)" "1"
    test_print_accept "print size(m_numbered_set)" "1"
    test_print_accept "print size(m_char_range)" "1"
    test_print_accept "print size(m_range_arr)" "17"
    test_print_accept "print size(m_chars)" "20"
    test_print_accept "print size(m_bits)" "2"

    # locations
    test_print_accept "print size(v_bool)" "1"
    test_print_accept "print size(v_char)" "1"
    test_print_accept "print size(v_byte)" "1"
    if [istarget "alpha-*-*"] then {
	test_print_accept "print size(v_int)" "4"
	test_print_accept "print size(v_ulong)" "8"
	test_print_accept "print size(v_ptr)" "8"
	test_print_accept "print size(v_chars_v)" "24"
	test_print_accept "print size(v_struct)" "40"
    } else {
	test_print_accept "print size(v_int)" "2"
	test_print_accept "print size(v_ulong)" "4"
	test_print_accept "print size(v_ptr)" "4"
	test_print_accept "print size(v_chars_v)" "22"
	test_print_accept "print size(v_struct)" "36"
    }
    test_print_accept "print size(v_set)" "1"
    test_print_accept "print size(v_numbered_set)" "1"
    test_print_accept "print size(v_char_range)" "1"
    test_print_accept "print size(v_range_arr)" "17"
    test_print_accept "print size(v_chars)" "20"
    test_print_accept "print size(v_bits)" "2"
}

proc test_num {} {
    global passcount

    verbose "testing builtin NUM"
    set passcount 0

    # constants
    test_print_accept "print num(false)" "0"
    test_print_accept "print num(true)" "1"
    test_print_accept "print num(10)" "10"
    test_print_accept "print num(33-34)" "-1"
    test_print_accept "print num('X')" "88"
    test_print_accept "print num(e5)" "4"

    # locations
    test_print_accept "print num(v_bool)" "0"
    test_print_accept "print num(v_char)" "88"
    test_print_accept "print num(v_byte)" "-30"
    test_print_accept "print num(v_ubyte)" "30"
    test_print_accept "print num(v_int)" "-333"
    test_print_accept "print num(v_uint)" "333"
    test_print_accept "print num(v_long)" "-4444"
    test_print_accept "print num(v_ulong)" "4444"
    test_print_accept "print num(v_set)" "2"
    test_print_accept "print num(v_set_range)" "2"
    test_print_accept "print num(v_numbered_set)" "35"
    test_print_accept "print num(v_char_range)" "71"
    test_print_accept "print num(v_long_range)" "1000"
    test_print_accept "print num(v_range)" "23"
}

# Start with a fresh gdb.

gdb_exit
gdb_start
gdb_reinitialize_dir $srcdir/$subdir

gdb_test "set print sevenbit-strings" ".*"

if [set_lang_chill] then {
    # test builtins as described in chapter 6.20.3 Z.200
    test_num
    test_size
    test_lower
    test_upper
    test_length
} else {
    warning "$test_name tests suppressed."
}