summaryrefslogtreecommitdiff
path: root/sim/testsuite/riscv/allinsn.exp
blob: db310c30ea5854beba8d37f0a043f0bf175b74f3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
# RISC-V simulator testsuite.

sim_init

if [istarget *] {
    # all machines
    set all_machs "riscv"

    foreach src [lsort [glob -nocomplain $srcdir/$subdir/*.s]] {
	# If we're only testing specific files and this isn't one of them,
	# skip it.
	if ![runtest_file_p $runtests $src] {
	    continue
	}
	run_sim_test $src $all_machs
    }
}