From c5fc963df621a41e7e27f1d97462acd138bd6c42 Mon Sep 17 00:00:00 2001 From: Richard Sandiford Date: Wed, 9 Jan 2008 09:36:11 +0000 Subject: bfd/ PR ld/5526 * elf-bfd.h (eh_cie_fde): Add u.cie.u.full_cie and u.cie.merged fields. Rename u.cie.u.merged to u.cie.u.merged_with. (eh_frame_sec_info): Add a cies field. (eh_frame_hdr_info): Add a merge_cies field. * elf-eh-frame.c (cie): Add a reloc_index member to the personality union. (_bfd_elf_begin_eh_frame_parsing): Set hdr_info->merge_cies instead of hdr_info->cies. (_bfd_elf_parse_eh_frame): Remove tmp_cie. Ccreate an array of cie structures in all cases and use it instead of extended_cies. If merging, store the cie array in sec_info->cies and point each CIE's eh_fde_cie at the associated element. Do not try to calculate the value of the personality routine here; record the offset of the relocation instead. Do not merge CIEs here. (_bfd_elf_end_eh_frame_parsing): Do not free hdr_info->cies here... (_bfd_elf_discard_section_eh_frame_hdr): ...do it here instead. (_bfd_elf_gc_mark_fdes): Mark the original (unmerged) CIE. (find_merged_cie): New function. (_bfd_elf_gc_mark_fdes): Use it. Free sec_info->cies. ld/testsuite/ PR ld/5526 * ld-elf/eh6.s, ld-elf/eh6.d: New test. --- ld/testsuite/ld-elf/eh6.s | 17 +++++++++++++++++ 1 file changed, 17 insertions(+) create mode 100644 ld/testsuite/ld-elf/eh6.s (limited to 'ld/testsuite/ld-elf/eh6.s') diff --git a/ld/testsuite/ld-elf/eh6.s b/ld/testsuite/ld-elf/eh6.s new file mode 100644 index 0000000000..bdc7dd1f28 --- /dev/null +++ b/ld/testsuite/ld-elf/eh6.s @@ -0,0 +1,17 @@ + .section .text.foo, "ax", @progbits + .globl foo + .type foo, @function +foo: + .cfi_startproc simple + .cfi_personality 0x80, indirect_ptr + ret + .cfi_endproc + .size foo, . - foo + + .section .data.rel.ro, "a", @progbits +indirect_ptr: + .long my_personality_v0 + + .globl my_personality_v0 +my_personality_v0: + .long 0 -- cgit v1.2.1