summaryrefslogtreecommitdiff
path: root/chip/g/polling_uart.c
diff options
context:
space:
mode:
Diffstat (limited to 'chip/g/polling_uart.c')
-rw-r--r--chip/g/polling_uart.c2
1 files changed, 1 insertions, 1 deletions
diff --git a/chip/g/polling_uart.c b/chip/g/polling_uart.c
index b1e4c4e0e3..e28abc2344 100644
--- a/chip/g/polling_uart.c
+++ b/chip/g/polling_uart.c
@@ -7,7 +7,7 @@
#include "registers.h"
#include "uart.h"
-#define UART_NCO ((16 * (1 << UART_NCO_WIDTH) * \
+#define UART_NCO ((16 * BIT(UART_NCO_WIDTH) * \
(long long)CONFIG_UART_BAUD_RATE) / PCLK_FREQ)
/* 115200N81 uart0, TX on A0, RX on A1 */