From 112bc3616b55421af4ae5003c542e9a425128650 Mon Sep 17 00:00:00 2001 From: Andrew McRae Date: Tue, 8 Feb 2022 21:11:39 +1100 Subject: zephyr: Remove unused enum-name entries After removal of the unsupported projects, take another run through the enum-names to remove the unused entries. BUG=b:216466985 TEST=zmake testall BRANCH=none Signed-off-by: Andrew McRae Change-Id: Id652c4fda7dd64a59b3776bb52640413a31e4e3e Reviewed-on: https://chromium-review.googlesource.com/c/chromiumos/platform/ec/+/3446945 Reviewed-by: Tristan Honscheid Reviewed-by: Keith Short Commit-Queue: Keith Short --- zephyr/dts/bindings/gpio/gpio-enum-name.yaml | 41 ---------------------------- zephyr/projects/brya/brya/gpio.dts | 6 ---- zephyr/projects/corsola/gpio_kingler.dts | 1 - zephyr/projects/herobrine/gpio.dts | 16 ----------- zephyr/projects/npcx_evb/npcx7/gpio.dts | 1 - zephyr/projects/npcx_evb/npcx9/gpio.dts | 1 - zephyr/projects/skyrim/gpio.dts | 2 -- zephyr/projects/skyrim/guybrush.dts | 3 -- zephyr/projects/skyrim/skyrim.dts | 2 -- zephyr/projects/trogdor/lazor/gpio.dts | 16 ----------- zephyr/projects/volteer/volteer/gpio.dts | 3 +- 11 files changed, 1 insertion(+), 91 deletions(-) diff --git a/zephyr/dts/bindings/gpio/gpio-enum-name.yaml b/zephyr/dts/bindings/gpio/gpio-enum-name.yaml index de6f9e90ce..1e79a34dc0 100644 --- a/zephyr/dts/bindings/gpio/gpio-enum-name.yaml +++ b/zephyr/dts/bindings/gpio/gpio-enum-name.yaml @@ -11,7 +11,6 @@ properties: are also using most of these names. When these boards get removed, these names can be removed enum: - - GPIO_ACCEL_GYRO_INT_L - GPIO_AC_PRESENT - GPIO_AP_EC_SYSRST_ODL - GPIO_AP_EC_WARM_RST_REQ @@ -20,7 +19,6 @@ properties: - GPIO_AP_IN_SLEEP_L - GPIO_AP_RST_L - GPIO_AP_SUSPEND - - GPIO_AP_XHCI_INIT_DONE - GPIO_BATT_PRES_ODL - GPIO_BC12_DET_EN - GPIO_BOARD_VERSION1 @@ -30,51 +28,26 @@ properties: - GPIO_CPU_PROCHOT - GPIO_DA9313_GPIO0 - GPIO_DEPRECATED_AP_RST_REQ - - GPIO_DP_AUX_PATH_SEL - - GPIO_DP_HOT_PLUG_DET - - GPIO_DP_MUX_OE_L - - GPIO_DP_MUX_SEL - - GPIO_EC_BL_EN_OD - - GPIO_EC_CBI_WP - - GPIO_EC_CHG_LED_W_C0 - - GPIO_EC_CHG_LED_W_C1 - - GPIO_EC_CHG_LED_Y_C0 - - GPIO_EC_CHG_LED_Y_C1 - - GPIO_EC_DPBRDG_HPD_ODL - GPIO_EC_INT_L - GPIO_EC_KB_BL_EN_L - GPIO_EC_PCH_SYS_PWROK - GPIO_EC_PMIC_EN_ODL - GPIO_EC_PMIC_WATCHDOG_L - GPIO_EC_PROCHOT_IN_L - - GPIO_EC_RST_ODL - GPIO_EC_SPI_OE_MECC - - GPIO_EC_WP_L - - GPIO_EC_X_GPIO1 - - GPIO_EC_X_GPIO3 - GPIO_ENABLE_BACKLIGHT - GPIO_ENABLE_BACKLIGHT_L - GPIO_ENTERING_RW - - GPIO_EN_5V_USM - - GPIO_EN_EC_ID_ODL - GPIO_EN_KEYBOARD_BACKLIGHT - GPIO_EN_PP3300_A - GPIO_EN_PP5000 - GPIO_EN_PP5000_A - - GPIO_EN_PP5000_FAN - GPIO_EN_PP5000_USBA - GPIO_EN_PP5000_USBA_R - - GPIO_EN_PP5000_USB_A0_VBUS - GPIO_EN_PPVAR_VCCIN - GPIO_EN_PWR_A - - GPIO_EN_PWR_PCORE_S0_R - - GPIO_EN_PWR_S0_R - GPIO_EN_S5_RAILS - - GPIO_EN_SLP_Z - GPIO_EN_USB_A_5V - - GPIO_HIBERNATE_L - - GPIO_ID_1_EC_BATT_PRES_ODL - - GPIO_ID_1_USB_C0_C2_TCPC_RST_ODL - GPIO_IMVP9_VRRDY_OD - GPIO_KBD_KSO2 - GPIO_LID_ACCEL_INT_L @@ -90,12 +63,9 @@ properties: - GPIO_PCH_SLP_S5_L - GPIO_PCH_SLP_SUS_L - GPIO_PCH_SYS_PWROK - - GPIO_PGOOD_FAN - GPIO_PG_EC_ALL_SYS_PWRGD - GPIO_PG_EC_DSW_PWROK - GPIO_PG_EC_RSMRST_ODL - - GPIO_PG_GROUPC_S0_OD - - GPIO_PG_LPDDR4X_S3_OD - GPIO_PMIC_EC_PWRGD - GPIO_PMIC_KPD_PWR_ODL - GPIO_PMIC_RESIN_L @@ -104,9 +74,6 @@ properties: - GPIO_PS_HOLD - GPIO_S0_PGOOD - GPIO_S5_PGOOD - - GPIO_SKU_ID0 - - GPIO_SKU_ID1 - - GPIO_SKU_ID2 - GPIO_SLP_SUS_L - GPIO_SWITCHCAP_ON - GPIO_SWITCHCAP_ON_L @@ -117,29 +84,22 @@ properties: - GPIO_TABLET_MODE_L - GPIO_TEMP_SENSOR_POWER - GPIO_TEST - - GPIO_USB_A0_OC_ODL - - GPIO_USB_C0_BC12_INT_L - GPIO_USB_C0_BC12_INT_ODL - - GPIO_USB_C0_C1_FAULT_ODL - GPIO_USB_C0_C2_TCPC_INT_ODL - GPIO_USB_C0_C2_TCPC_RST_ODL - GPIO_USB_C0_DP_HPD - - GPIO_USB_C0_FRS_EN - GPIO_USB_C0_OC_ODL - GPIO_USB_C0_PD_INT_ODL - - GPIO_USB_C0_PD_RST_L - GPIO_USB_C0_PPC_INT_ODL - GPIO_USB_C0_SWCTL_INT_ODL - GPIO_USB_C0_TCPC_INT_ODL - GPIO_USB_C0_TCPC_RST_L - - GPIO_USB_C1_BC12_INT_L - GPIO_USB_C1_BC12_INT_ODL - GPIO_USB_C1_DP_HPD - GPIO_USB_C1_FRS_EN - GPIO_USB_C1_LS_EN - GPIO_USB_C1_OC_ODL - GPIO_USB_C1_PD_INT_ODL - - GPIO_USB_C1_PD_RST_L - GPIO_USB_C1_PPC_INT_ODL - GPIO_USB_C1_RST_ODL - GPIO_USB_C1_RT_RST_ODL @@ -154,4 +114,3 @@ properties: - GPIO_VOLUME_DOWN_L - GPIO_VOLUME_UP_L - GPIO_WARM_RESET_L - - GPIO_X_EC_GPIO2 diff --git a/zephyr/projects/brya/brya/gpio.dts b/zephyr/projects/brya/brya/gpio.dts index 62f2ddd3e5..3eb9e451f5 100644 --- a/zephyr/projects/brya/brya/gpio.dts +++ b/zephyr/projects/brya/brya/gpio.dts @@ -39,7 +39,6 @@ }; gpio_id_1_ec_batt_pres_odl: id_1_ec_batt_pres_odl { gpios = <&gpioe 1 GPIO_INPUT>; - enum-name = "GPIO_ID_1_EC_BATT_PRES_ODL"; }; ec_i2c_bat_scl { gpios = <&gpio3 3 GPIO_INPUT>; @@ -49,7 +48,6 @@ }; gpio_ec_kb_bl_en_l: ec_kb_bl_en_l { gpios = <&gpio8 6 GPIO_OUT_HIGH>; - enum-name = "GPIO_EC_KB_BL_EN_L"; }; ec_i2c_misc_scl_r { gpios = <&gpiob 3 GPIO_INPUT>; @@ -95,7 +93,6 @@ }; ec_chg_led_y_c1 { gpios = <&gpioc 3 GPIO_OUT_LOW>; - enum-name = "GPIO_EC_CHG_LED_Y_C1"; }; ec_chg_led_b_c1 { gpios = <&gpioc 4 GPIO_OUT_LOW>; @@ -198,11 +195,9 @@ }; gpio_ec_prochot_in_l: ec_prochot_in_l { gpios = <&gpiof 0 GPIO_INPUT>; - enum-name = "GPIO_EC_PROCHOT_IN_L"; }; gpio_en_pp5000_fan: en_pp5000_fan { gpios = <&gpio6 1 GPIO_OUT_HIGH>; - enum-name = "GPIO_EN_PP5000_FAN"; }; gpio_ec_voldn_btn_odl: ec_voldn_btn_odl { gpios = <&gpio9 3 GPIO_INPUT_PULL_UP>; @@ -262,7 +257,6 @@ }; id_1_usb_c0_c2_tcpc_rst_odl { gpios = <&gpio3 4 GPIO_ODR_LOW>; - enum-name = "GPIO_ID_1_USB_C0_C2_TCPC_RST_ODL"; }; usb_c0_int_odl { gpios = <&gpiob 1 GPIO_INPUT>; diff --git a/zephyr/projects/corsola/gpio_kingler.dts b/zephyr/projects/corsola/gpio_kingler.dts index 34c0a5284e..cc3ee6338e 100644 --- a/zephyr/projects/corsola/gpio_kingler.dts +++ b/zephyr/projects/corsola/gpio_kingler.dts @@ -115,7 +115,6 @@ }; ec_rst_odl { gpios = <&gpio7 7 GPIO_INPUT>; - enum-name = "GPIO_EC_RST_ODL"; }; gpio_lid_open: lid_open { gpios = <&gpiod 2 GPIO_INPUT>; diff --git a/zephyr/projects/herobrine/gpio.dts b/zephyr/projects/herobrine/gpio.dts index 635608776a..22c9d59b68 100644 --- a/zephyr/projects/herobrine/gpio.dts +++ b/zephyr/projects/herobrine/gpio.dts @@ -29,15 +29,12 @@ }; gpio_usb_c0_bc12_int_l: usb_c0_bc12_int_l { gpios = <&gpio6 1 GPIO_INPUT_PULL_UP>; - enum-name = "GPIO_USB_C0_BC12_INT_L"; }; gpio_usb_c1_bc12_int_l: usb_c1_bc12_int_l { gpios = <&gpio8 2 GPIO_INPUT_PULL_UP>; - enum-name = "GPIO_USB_C1_BC12_INT_L"; }; gpio_usb_a0_oc_odl: usb_a0_oc_odl { gpios = <&gpiof 4 GPIO_INPUT_PULL_UP>; - enum-name = "GPIO_USB_A0_OC_ODL"; }; gpio_chg_acok_od: chg_acok_od { gpios = <&gpiod 2 GPIO_INPUT>; @@ -94,7 +91,6 @@ }; gpio_rtc_ec_wake_odl: rtc_ec_wake_odl { gpios = <&gpio0 2 GPIO_INPUT>; - enum-name = "GPIO_EC_RST_ODL"; }; ec_entering_rw { gpios = <&gpio7 2 GPIO_OUT_LOW>; @@ -143,25 +139,21 @@ }; lid_accel_int_l { gpios = <&gpioa 1 GPIO_INPUT>; - enum-name = "GPIO_LID_ACCEL_INT_L"; }; tp_int_gate { gpios = <&gpio7 4 GPIO_OUT_LOW>; }; gpio_usb_c0_pd_rst_l: usb_c0_pd_rst_l { gpios = <&gpiof 1 GPIO_OUT_HIGH>; - enum-name = "GPIO_USB_C0_PD_RST_L"; }; gpio_usb_c1_pd_rst_l: usb_c1_pd_rst_l { gpios = <&gpioe 4 GPIO_OUT_HIGH>; - enum-name = "GPIO_USB_C1_PD_RST_L"; }; gpio_dp_mux_oe_l: dp_mux_oe_l { gpios = <&gpiob 1 GPIO_ODR_HIGH>; }; gpio_dp_mux_sel: dp_mux_sel { gpios = <&gpio4 5 GPIO_OUT_LOW>; - enum-name = "GPIO_DP_MUX_SEL"; }; gpio_dp_hot_plug_det_r: dp_hot_plug_det_r { gpios = <&gpio9 5 GPIO_OUT_LOW>; @@ -175,7 +167,6 @@ }; usb_c0_frs_en { gpios = <&gpioc 5 GPIO_OUT_LOW>; - enum-name = "GPIO_USB_C0_FRS_EN"; }; usb_c1_frs_en { gpios = <&gpioc 1 GPIO_OUT_LOW>; @@ -183,19 +174,15 @@ }; gpio_ec_chg_led_y_c0: ec_chg_led_y_c0 { gpios = <&gpio6 0 GPIO_OUT_LOW>; - enum-name = "GPIO_EC_CHG_LED_Y_C0"; }; gpio_ec_chg_led_w_c0: ec_chg_led_w_c0 { gpios = <&gpioc 0 GPIO_OUT_LOW>; - enum-name = "GPIO_EC_CHG_LED_W_C0"; }; gpio_ec_chg_led_y_c1: ec_chg_led_y_c1 { gpios = <&gpioc 3 GPIO_OUT_LOW>; - enum-name = "GPIO_EC_CHG_LED_Y_C1"; }; gpio_ec_chg_led_w_c1: ec_chg_led_w_c1 { gpios = <&gpioc 4 GPIO_OUT_LOW>; - enum-name = "GPIO_EC_CHG_LED_W_C1"; }; ap_ec_spi_mosi { gpios = <&gpio4 6 GPIO_INPUT_PULL_DOWN>; @@ -220,15 +207,12 @@ }; gpio_sku_id0: sku_id0 { gpios = <&gpio6 7 GPIO_INPUT>; - enum-name = "GPIO_SKU_ID0"; }; gpio_sku_id1: sku_id1 { gpios = <&gpio7 0 GPIO_INPUT>; - enum-name = "GPIO_SKU_ID1"; }; gpio_sku_id2: sku_id2 { gpios = <&gpioe 1 GPIO_INPUT>; - enum-name = "GPIO_SKU_ID2"; }; gpio_switchcap_pg: src_vph_pwr_pg { gpios = <&gpioe 2 GPIO_INPUT_PULL_DOWN>; diff --git a/zephyr/projects/npcx_evb/npcx7/gpio.dts b/zephyr/projects/npcx_evb/npcx7/gpio.dts index 32425bcf23..34b453e892 100644 --- a/zephyr/projects/npcx_evb/npcx7/gpio.dts +++ b/zephyr/projects/npcx_evb/npcx7/gpio.dts @@ -39,7 +39,6 @@ }; gpio_pgood_fan: pgood_fan { gpios = <&gpioc 7 GPIO_INPUT_PULL_UP>; - enum-name = "GPIO_PGOOD_FAN"; }; spi_cs_l { gpios = <&gpioa 5 GPIO_OUT_HIGH>; diff --git a/zephyr/projects/npcx_evb/npcx9/gpio.dts b/zephyr/projects/npcx_evb/npcx9/gpio.dts index 32425bcf23..34b453e892 100644 --- a/zephyr/projects/npcx_evb/npcx9/gpio.dts +++ b/zephyr/projects/npcx_evb/npcx9/gpio.dts @@ -39,7 +39,6 @@ }; gpio_pgood_fan: pgood_fan { gpios = <&gpioc 7 GPIO_INPUT_PULL_UP>; - enum-name = "GPIO_PGOOD_FAN"; }; spi_cs_l { gpios = <&gpioa 5 GPIO_OUT_HIGH>; diff --git a/zephyr/projects/skyrim/gpio.dts b/zephyr/projects/skyrim/gpio.dts index 743aeb76f2..8809a1233e 100644 --- a/zephyr/projects/skyrim/gpio.dts +++ b/zephyr/projects/skyrim/gpio.dts @@ -45,11 +45,9 @@ }; gpio_en_pwr_s0_r: en_pwr_s0_r { gpios = <&gpiof 1 GPIO_OUT_LOW>; - enum-name = "GPIO_EN_PWR_S0_R"; }; gpio_en_pwr_pcore_s0_r: en_pwr_pcore_s0_r { gpios = <&gpioe 1 GPIO_OUT_LOW>; - enum-name = "GPIO_EN_PWR_PCORE_S0_R"; }; ec_sys_rst_l { gpios = <&gpio7 6 GPIO_ODR_HIGH>; diff --git a/zephyr/projects/skyrim/guybrush.dts b/zephyr/projects/skyrim/guybrush.dts index 329ae455c3..2ee04a09e1 100644 --- a/zephyr/projects/skyrim/guybrush.dts +++ b/zephyr/projects/skyrim/guybrush.dts @@ -25,11 +25,9 @@ }; gpio_pg_groupc_s0_od: pg_groupc_s0_od { gpios = <&gpioa 3 GPIO_INPUT>; - enum-name = "GPIO_PG_GROUPC_S0_OD"; }; gpio_pg_lpddr4x_s3_od: pg_lpddr4x_s3_od { gpios = <&gpio9 5 GPIO_INPUT>; - enum-name = "GPIO_PG_LPDDR4X_S3_OD"; }; ec_soc_pwr_good { gpios = <&gpiod 3 GPIO_OUT_LOW>; @@ -57,7 +55,6 @@ }; gpio_usb_c0_c1_fault_odl: usb_c0_c1_fault_odl { gpios = <&gpio7 3 GPIO_ODR_HIGH>; - enum-name = "GPIO_USB_C0_C1_FAULT_ODL"; }; 3axis_int_l { gpios = <&gpioa 2 GPIO_INPUT_PULL_DOWN>; diff --git a/zephyr/projects/skyrim/skyrim.dts b/zephyr/projects/skyrim/skyrim.dts index 145eabe765..ca8acc7e24 100644 --- a/zephyr/projects/skyrim/skyrim.dts +++ b/zephyr/projects/skyrim/skyrim.dts @@ -18,7 +18,6 @@ }; gpio_pg_groupc_s0_od: pg_groupc_s0_od { gpios = <&gpiof 0 GPIO_INPUT>; - enum-name = "GPIO_PG_GROUPC_S0_OD"; }; /* TODO: Add interrupt handler */ ec_i2c_usbc_pd_int { @@ -73,7 +72,6 @@ }; ec_cbi_wp { gpios = <&gpio8 1 GPIO_OUT_LOW>; - enum-name = "GPIO_EC_CBI_WP"; }; gpio_wp: ec_wp_l { gpios = <&gpiod 7 (GPIO_INPUT | GPIO_ACTIVE_LOW)>; diff --git a/zephyr/projects/trogdor/lazor/gpio.dts b/zephyr/projects/trogdor/lazor/gpio.dts index 5c8a5c32b9..7f71cee210 100644 --- a/zephyr/projects/trogdor/lazor/gpio.dts +++ b/zephyr/projects/trogdor/lazor/gpio.dts @@ -31,15 +31,12 @@ }; gpio_usb_c0_bc12_int_l: usb_c0_bc12_int_l { gpios = <&gpio6 1 GPIO_INPUT_PULL_UP>; - enum-name = "GPIO_USB_C0_BC12_INT_L"; }; gpio_usb_c1_bc12_int_l: usb_c1_bc12_int_l { gpios = <&gpio8 2 GPIO_INPUT_PULL_UP>; - enum-name = "GPIO_USB_C1_BC12_INT_L"; }; gpio_usb_a0_oc_odl: usb_a0_oc_odl { gpios = <&gpiod 1 GPIO_INPUT_PULL_UP>; - enum-name = "GPIO_USB_A0_OC_ODL"; }; gpio_acok_od: acok_od { gpios = <&gpio0 0 GPIO_INPUT>; @@ -101,7 +98,6 @@ }; gpio_accel_gyro_int_l: accel_gyro_int_l { gpios = <&gpioa 0 GPIO_INPUT>; - enum-name = "GPIO_ACCEL_GYRO_INT_L"; }; gpio_da9313_gpio0: da9313_gpio0 { gpios = <&gpioe 2 GPIO_INPUT>; @@ -115,7 +111,6 @@ }; gpio_ec_rst_odl: ec_rst_odl { gpios = <&gpio0 2 GPIO_INPUT>; - enum-name = "GPIO_EC_RST_ODL"; }; ec_entering_rw { gpios = <&gpioe 1 GPIO_OUT_LOW>; @@ -142,7 +137,6 @@ }; gpio_hibernate_l: hibernate_l { gpios = <&gpio5 2 GPIO_OUT_HIGH>; - enum-name = "GPIO_HIBERNATE_L"; }; gpio_switchcap_on: switchcap_on { gpios = <&gpiod 5 GPIO_OUT_LOW>; @@ -166,30 +160,24 @@ }; gpio_lid_accel_int_l: lid_accel_int_l { gpios = <&gpio5 6 GPIO_INPUT>; - enum-name = "GPIO_LID_ACCEL_INT_L"; }; trackpad_int_gate { gpios = <&gpio7 4 GPIO_OUT_LOW>; }; gpio_usb_c0_pd_rst_l: usb_c0_pd_rst_l { gpios = <&gpiof 1 GPIO_ODR_HIGH>; - enum-name = "GPIO_USB_C0_PD_RST_L"; }; gpio_usb_c1_pd_rst_l: usb_c1_pd_rst_l { gpios = <&gpioe 4 GPIO_ODR_HIGH>; - enum-name = "GPIO_USB_C1_PD_RST_L"; }; gpio_dp_mux_oe_l: dp_mux_oe_l { gpios = <&gpio9 6 GPIO_ODR_HIGH>; - enum-name = "GPIO_DP_MUX_OE_L"; }; gpio_dp_mux_sel: dp_mux_sel { gpios = <&gpio4 5 GPIO_OUT_LOW>; - enum-name = "GPIO_DP_MUX_SEL"; }; gpio_dp_hot_plug_det: dp_hot_plug_det { gpios = <&gpio9 5 GPIO_OUT_LOW>; - enum-name = "GPIO_DP_HOT_PLUG_DET"; }; en_usb_a_5v { gpios = <&gpio8 6 GPIO_OUT_LOW>; @@ -200,7 +188,6 @@ }; gpio_ec_chg_led_y_c1: ec_chg_led_y_c1 { gpios = <&gpioc 3 GPIO_OUT_LOW>; - enum-name = "GPIO_EC_CHG_LED_Y_C1"; }; gpio_ec_chg_led_b_c1: ec_chg_led_b_c1 { gpios = <&gpioc 4 GPIO_OUT_LOW>; @@ -219,15 +206,12 @@ }; gpio_sku_id0: sku_id0 { gpios = <&gpiof 0 GPIO_INPUT>; - enum-name = "GPIO_SKU_ID0"; }; gpio_sku_id1: sku_id1 { gpios = <&gpio4 1 GPIO_INPUT>; - enum-name = "GPIO_SKU_ID1"; }; gpio_sku_id2: sku_id2 { gpios = <&gpiod 4 GPIO_INPUT>; - enum-name = "GPIO_SKU_ID2"; }; arm_x86 { gpios = <&gpio6 6 GPIO_OUT_LOW>; diff --git a/zephyr/projects/volteer/volteer/gpio.dts b/zephyr/projects/volteer/volteer/gpio.dts index 23ff1f901f..5285c1475e 100644 --- a/zephyr/projects/volteer/volteer/gpio.dts +++ b/zephyr/projects/volteer/volteer/gpio.dts @@ -15,7 +15,7 @@ gpios = <&gpiod 2 GPIO_INPUT>; enum-name = "GPIO_LID_OPEN"; }; - gpio_wp: wp_l { + gpio_wp: ec_wp_l { gpios = <&gpioa 1 (GPIO_INPUT | GPIO_ACTIVE_LOW)>; }; gpio_h1_ec_pwr_btn_odl: h1_ec_pwr_btn_odl { @@ -268,7 +268,6 @@ }; gpio_en_pp5000_fan: en_pp5000_fan { gpios = <&gpio6 1 GPIO_OUT_LOW>; - enum-name = "GPIO_EN_PP5000_FAN"; }; ec_kso_02_inv { gpios = <&gpio1 7 GPIO_OUT_LOW>; -- cgit v1.2.1