diff options
author | Glenn Morris <rgm@gnu.org> | 2008-01-16 04:10:45 +0000 |
---|---|---|
committer | Glenn Morris <rgm@gnu.org> | 2008-01-16 04:10:45 +0000 |
commit | 1e3f16b7a31f9a7cd17fcfa5c74cf1998cf6654a (patch) | |
tree | c7bcd5299d39eecc38460b3d85ad7b0d4409d75e /lisp/ldefs-boot.el | |
parent | 2c2935f7f7a7139ecb32c34b3bc55dd483581c49 (diff) | |
download | emacs-1e3f16b7a31f9a7cd17fcfa5c74cf1998cf6654a.tar.gz |
Regenerate.
Diffstat (limited to 'lisp/ldefs-boot.el')
-rw-r--r-- | lisp/ldefs-boot.el | 2442 |
1 files changed, 1492 insertions, 950 deletions
diff --git a/lisp/ldefs-boot.el b/lisp/ldefs-boot.el index 8704a6d8ccb..4397568b2d7 100644 --- a/lisp/ldefs-boot.el +++ b/lisp/ldefs-boot.el @@ -4,7 +4,7 @@ ;;;### (autoloads (5x5-crack 5x5-crack-xor-mutate 5x5-crack-mutating-best ;;;;;; 5x5-crack-mutating-current 5x5-crack-randomly 5x5) "5x5" -;;;;;; "play/5x5.el" (18088 55113)) +;;;;;; "play/5x5.el" (18307 57870)) ;;; Generated autoloads from play/5x5.el (autoload '5x5 "5x5" "\ @@ -63,14 +63,14 @@ should return a grid vector array that is the new solution. ;;;*** -;;;### (autoloads nil "abbrev" "abbrev.el" (18212 46004)) +;;;### (autoloads nil "abbrev" "abbrev.el" (18316 2342)) ;;; Generated autoloads from abbrev.el (put 'abbrev-mode 'safe-local-variable 'booleanp) ;;;*** ;;;### (autoloads (list-one-abbrev-table) "abbrevlist" "abbrevlist.el" -;;;;;; (18088 55079)) +;;;;;; (18307 57851)) ;;; Generated autoloads from abbrevlist.el (autoload 'list-one-abbrev-table "abbrevlist" "\ @@ -81,7 +81,7 @@ Display alphabetical listing of ABBREV-TABLE in buffer OUTPUT-BUFFER. ;;;*** ;;;### (autoloads (ada-mode ada-add-extensions) "ada-mode" "progmodes/ada-mode.el" -;;;;;; (18173 8195)) +;;;;;; (18310 28917)) ;;; Generated autoloads from progmodes/ada-mode.el (autoload 'ada-add-extensions "ada-mode" "\ @@ -142,7 +142,7 @@ If you use ada-xref.el: ;;;*** ;;;### (autoloads (ada-header) "ada-stmt" "progmodes/ada-stmt.el" -;;;;;; (18088 55114)) +;;;;;; (18307 57870)) ;;; Generated autoloads from progmodes/ada-stmt.el (autoload 'ada-header "ada-stmt" "\ @@ -153,7 +153,7 @@ Insert a descriptive header at the top of the file. ;;;*** ;;;### (autoloads (ada-find-file) "ada-xref" "progmodes/ada-xref.el" -;;;;;; (18201 33327)) +;;;;;; (18302 4831)) ;;; Generated autoloads from progmodes/ada-xref.el (autoload 'ada-find-file "ada-xref" "\ @@ -167,8 +167,8 @@ Completion is available. ;;;### (autoloads (change-log-merge add-log-current-defun change-log-mode ;;;;;; add-change-log-entry-other-window add-change-log-entry find-change-log ;;;;;; prompt-for-change-log-name add-log-mailing-address add-log-full-name -;;;;;; add-log-current-defun-function) "add-log" "add-log.el" (18210 -;;;;;; 13714)) +;;;;;; add-log-current-defun-function) "add-log" "add-log.el" (18307 +;;;;;; 57852)) ;;; Generated autoloads from add-log.el (defvar add-log-current-defun-function nil "\ @@ -249,7 +249,6 @@ This is just like `add-change-log-entry' except that it displays the change log file in another window. \(fn &optional WHOAMI FILE-NAME)" t nil) - (define-key ctl-x-4-map "a" 'add-change-log-entry-other-window) (autoload 'change-log-mode "add-log" "\ Major mode for editing change logs; like Indented Text Mode. @@ -301,7 +300,7 @@ old-style time formats for entries are supported. ;;;### (autoloads (defadvice ad-activate ad-add-advice ad-disable-advice ;;;;;; ad-enable-advice ad-default-compilation-action ad-redefinition-action) -;;;;;; "advice" "emacs-lisp/advice.el" (18213 13926)) +;;;;;; "advice" "emacs-lisp/advice.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/advice.el (defvar ad-redefinition-action 'warn "\ @@ -429,7 +428,7 @@ See Info node `(elisp)Advising Functions' for comprehensive documentation. ;;;### (autoloads (align-newline-and-indent align-unhighlight-rule ;;;;;; align-highlight-rule align-current align-entire align-regexp -;;;;;; align) "align" "align.el" (18088 55079)) +;;;;;; align) "align" "align.el" (18307 57852)) ;;; Generated autoloads from align.el (autoload 'align "align" "\ @@ -519,9 +518,13 @@ A replacement function for `newline-and-indent', aligning as it goes. ;;;*** ;;;### (autoloads (outlineify-sticky allout-mode) "allout" "allout.el" -;;;;;; (18187 36838)) +;;;;;; (18307 57852)) ;;; Generated autoloads from allout.el +(put 'allout-use-hanging-indents 'safe-local-variable (if (fboundp 'booleanp) 'booleanp '(lambda (x) (member x '(t nil))))) + +(put 'allout-reindent-bodies 'safe-local-variable '(lambda (x) (memq x '(nil t text force)))) + (put 'allout-show-bodies 'safe-local-variable (if (fboundp 'booleanp) 'booleanp '(lambda (x) (member x '(t nil))))) (put 'allout-header-prefix 'safe-local-variable 'stringp) @@ -544,10 +547,6 @@ A replacement function for `newline-and-indent', aligning as it goes. (put 'allout-presentation-padding 'safe-local-variable 'integerp) -(put 'allout-use-hanging-indents 'safe-local-variable (if (fboundp 'booleanp) 'booleanp '(lambda (x) (member x '(t nil))))) - -(put 'allout-reindent-bodies 'safe-local-variable '(lambda (x) (memq x '(nil t text force)))) - (put 'allout-layout 'safe-local-variable '(lambda (x) (or (numberp x) (listp x) (memq x '(: * + -))))) (put 'allout-passphrase-verifier-string 'safe-local-variable 'stringp) @@ -826,7 +825,7 @@ setup for auto-startup. ;;;*** ;;;### (autoloads (ange-ftp-hook-function ange-ftp-reread-dir) "ange-ftp" -;;;;;; "net/ange-ftp.el" (18203 37788)) +;;;;;; "net/ange-ftp.el" (18307 57869)) ;;; Generated autoloads from net/ange-ftp.el (defalias 'ange-ftp-re-read-dir 'ange-ftp-reread-dir) @@ -848,7 +847,7 @@ Not documented ;;;*** ;;;### (autoloads (animate-birthday-present animate-sequence animate-string) -;;;;;; "animate" "play/animate.el" (18088 55113)) +;;;;;; "animate" "play/animate.el" (18307 57870)) ;;; Generated autoloads from play/animate.el (autoload 'animate-string "animate" "\ @@ -876,7 +875,7 @@ You can specify the one's name by NAME; the default value is \"Sarah\". ;;;*** ;;;### (autoloads (ansi-color-process-output ansi-color-for-comint-mode-on) -;;;;;; "ansi-color" "ansi-color.el" (18169 11930)) +;;;;;; "ansi-color" "ansi-color.el" (18307 57852)) ;;; Generated autoloads from ansi-color.el (autoload 'ansi-color-for-comint-mode-on "ansi-color" "\ @@ -902,7 +901,7 @@ This is a good function to put in `comint-output-filter-functions'. ;;;*** ;;;### (autoloads (antlr-set-tabs antlr-mode antlr-show-makefile-rules) -;;;;;; "antlr-mode" "progmodes/antlr-mode.el" (18203 37788)) +;;;;;; "antlr-mode" "progmodes/antlr-mode.el" (18307 57870)) ;;; Generated autoloads from progmodes/antlr-mode.el (autoload 'antlr-show-makefile-rules "antlr-mode" "\ @@ -941,52 +940,52 @@ Used in `antlr-mode'. Also a useful function in `java-mode-hook'. ;;;### (autoloads (appt-activate appt-make-list appt-delete appt-add ;;;;;; appt-display-diary appt-display-duration appt-display-mode-line ;;;;;; appt-msg-window appt-visible appt-audible appt-message-warning-time -;;;;;; appt-issue-message) "appt" "calendar/appt.el" (18090 40233)) +;;;;;; appt-issue-message) "appt" "calendar/appt.el" (18307 57860)) ;;; Generated autoloads from calendar/appt.el (defvar appt-issue-message t "\ -*Non-nil means check for appointments in the diary buffer. +Non-nil means check for appointments in the diary buffer. To be detected, the diary entry must have the format described in the documentation of the function `appt-check'.") (custom-autoload 'appt-issue-message "appt" t) (defvar appt-message-warning-time 12 "\ -*Time in minutes before an appointment that the warning begins.") +Time in minutes before an appointment that the warning begins.") (custom-autoload 'appt-message-warning-time "appt" t) (defvar appt-audible t "\ -*Non-nil means beep to indicate appointment.") +Non-nil means beep to indicate appointment.") (custom-autoload 'appt-audible "appt" t) (defvar appt-visible t "\ -*Non-nil means display appointment message in echo area. +Non-nil means display appointment message in echo area. This variable is only relevant if `appt-msg-window' is nil.") (custom-autoload 'appt-visible "appt" t) (defvar appt-msg-window t "\ -*Non-nil means display appointment message in another window. +Non-nil means display appointment message in another window. If non-nil, this variable overrides `appt-visible'.") (custom-autoload 'appt-msg-window "appt" t) (defvar appt-display-mode-line t "\ -*Non-nil means display minutes to appointment and time on the mode line. +Non-nil means display minutes to appointment and time on the mode line. This is in addition to any other display of appointment messages.") (custom-autoload 'appt-display-mode-line "appt" t) (defvar appt-display-duration 10 "\ -*The number of seconds an appointment message is displayed. +The number of seconds an appointment message is displayed. Only relevant if reminders are to be displayed in their own window.") (custom-autoload 'appt-display-duration "appt" t) (defvar appt-display-diary t "\ -*Non-nil displays the diary when the appointment list is first initialized. +Non-nil displays the diary when the appointment list is first initialized. This will occur at midnight when the appointment list is updated.") (custom-autoload 'appt-display-diary "appt" t) @@ -1029,7 +1028,7 @@ ARG is positive, otherwise off. ;;;### (autoloads (apropos-documentation apropos-value apropos apropos-documentation-property ;;;;;; apropos-command apropos-variable apropos-read-pattern) "apropos" -;;;;;; "apropos.el" (18088 55080)) +;;;;;; "apropos.el" (18307 57852)) ;;; Generated autoloads from apropos.el (autoload 'apropos-read-pattern "apropos" "\ @@ -1125,8 +1124,8 @@ Returns list of symbols and documentation found. ;;;*** -;;;### (autoloads (archive-mode) "arc-mode" "arc-mode.el" (18163 -;;;;;; 2859)) +;;;### (autoloads (archive-mode) "arc-mode" "arc-mode.el" (18307 +;;;;;; 57852)) ;;; Generated autoloads from arc-mode.el (autoload 'archive-mode "arc-mode" "\ @@ -1146,7 +1145,7 @@ archive. ;;;*** -;;;### (autoloads (array-mode) "array" "array.el" (18088 55080)) +;;;### (autoloads (array-mode) "array" "array.el" (18307 57852)) ;;; Generated autoloads from array.el (autoload 'array-mode "array" "\ @@ -1217,8 +1216,8 @@ Entering array mode calls the function `array-mode-hook'. ;;;*** -;;;### (autoloads (artist-mode) "artist" "textmodes/artist.el" (18200 -;;;;;; 51267)) +;;;### (autoloads (artist-mode) "artist" "textmodes/artist.el" (18307 +;;;;;; 57872)) ;;; Generated autoloads from textmodes/artist.el (autoload 'artist-mode "artist" "\ @@ -1423,8 +1422,8 @@ Keymap summary ;;;*** -;;;### (autoloads (asm-mode) "asm-mode" "progmodes/asm-mode.el" (18088 -;;;;;; 55114)) +;;;### (autoloads (asm-mode) "asm-mode" "progmodes/asm-mode.el" (18307 +;;;;;; 57870)) ;;; Generated autoloads from progmodes/asm-mode.el (autoload 'asm-mode "asm-mode" "\ @@ -1451,19 +1450,8 @@ Special commands: ;;;*** -;;;### (autoloads (assistant) "assistant" "gnus/assistant.el" (18212 -;;;;;; 21478)) -;;; Generated autoloads from gnus/assistant.el - -(autoload 'assistant "assistant" "\ -Assist setting up Emacs based on FILE. - -\(fn FILE)" t nil) - -;;;*** - ;;;### (autoloads (autoarg-kp-mode autoarg-mode) "autoarg" "autoarg.el" -;;;;;; (18088 55080)) +;;;;;; (18307 57852)) ;;; Generated autoloads from autoarg.el (defvar autoarg-mode nil "\ @@ -1517,7 +1505,7 @@ etc. to supply digit arguments. ;;;*** ;;;### (autoloads (autoconf-mode) "autoconf" "progmodes/autoconf.el" -;;;;;; (18147 59473)) +;;;;;; (18307 57870)) ;;; Generated autoloads from progmodes/autoconf.el (autoload 'autoconf-mode "autoconf" "\ @@ -1528,7 +1516,7 @@ Major mode for editing Autoconf configure.in files. ;;;*** ;;;### (autoloads (auto-insert-mode define-auto-insert auto-insert) -;;;;;; "autoinsert" "autoinsert.el" (18183 58476)) +;;;;;; "autoinsert" "autoinsert.el" (18307 57852)) ;;; Generated autoloads from autoinsert.el (autoload 'auto-insert "autoinsert" "\ @@ -1567,7 +1555,7 @@ insert a template for the file depending on the mode of the buffer. ;;;### (autoloads (batch-update-autoloads update-directory-autoloads ;;;;;; update-file-autoloads) "autoload" "emacs-lisp/autoload.el" -;;;;;; (18120 34750)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/autoload.el (put 'generated-autoload-file 'safe-local-variable 'stringp) @@ -1604,7 +1592,7 @@ Calls `update-directory-autoloads' on the command line arguments. ;;;### (autoloads (global-auto-revert-mode turn-on-auto-revert-tail-mode ;;;;;; auto-revert-tail-mode turn-on-auto-revert-mode auto-revert-mode) -;;;;;; "autorevert" "autorevert.el" (18177 7730)) +;;;;;; "autorevert" "autorevert.el" (18307 57852)) ;;; Generated autoloads from autorevert.el (autoload 'auto-revert-mode "autorevert" "\ @@ -1673,7 +1661,7 @@ Use `auto-revert-mode' to revert a particular buffer. ;;;*** ;;;### (autoloads (mouse-avoidance-mode mouse-avoidance-mode) "avoid" -;;;;;; "avoid.el" (18088 55080)) +;;;;;; "avoid.el" (18307 57852)) ;;; Generated autoloads from avoid.el (defvar mouse-avoidance-mode nil "\ @@ -1714,7 +1702,7 @@ definition of \"random distance\".) ;;;*** ;;;### (autoloads (backquote) "backquote" "emacs-lisp/backquote.el" -;;;;;; (18130 62047)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/backquote.el (autoload 'backquote "backquote" "\ @@ -1732,14 +1720,14 @@ b => (ba bb bc) ; assume b has this value Vectors work just like lists. Nested backquotes are permitted. -\(fn ARG)" nil (quote macro)) +\(fn STRUCTURE)" nil (quote macro)) (defalias '\` (symbol-function 'backquote)) ;;;*** ;;;### (autoloads (display-battery-mode battery) "battery" "battery.el" -;;;;;; (18088 55080)) +;;;;;; (18307 57852)) ;;; Generated autoloads from battery.el (put 'battery-mode-line-string 'risky-local-variable t) @@ -1771,7 +1759,7 @@ seconds. ;;;*** ;;;### (autoloads (benchmark benchmark-run-compiled benchmark-run) -;;;;;; "benchmark" "emacs-lisp/benchmark.el" (18088 55094)) +;;;;;; "benchmark" "emacs-lisp/benchmark.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/benchmark.el (autoload 'benchmark-run "benchmark" "\ @@ -1803,10 +1791,23 @@ non-interactive use see also `benchmark-run' and ;;;*** -;;;### (autoloads (bibtex-mode) "bibtex" "textmodes/bibtex.el" (18088 -;;;;;; 55119)) +;;;### (autoloads (bibtex-mode bibtex-initialize) "bibtex" "textmodes/bibtex.el" +;;;;;; (18308 12343)) ;;; Generated autoloads from textmodes/bibtex.el +(autoload 'bibtex-initialize "bibtex" "\ +(Re)Initialize BibTeX buffers. +Visit the BibTeX files defined by `bibtex-files' and return a list +of corresponding buffers. +Initialize in these buffers `bibtex-reference-keys' if not yet set. +List of BibTeX buffers includes current buffer if CURRENT is non-nil. +If FORCE is non-nil, (re)initialize `bibtex-reference-keys' even if +already set. If SELECT is non-nil interactively select a BibTeX buffer. +When called interactively, FORCE is t, CURRENT is t if current buffer uses +`bibtex-mode', and SELECT is t if current buffer does not use `bibtex-mode', + +\(fn &optional CURRENT FORCE SELECT)" t nil) + (autoload 'bibtex-mode "bibtex" "\ Major mode for editing BibTeX files. @@ -1865,7 +1866,7 @@ if that value is non-nil. ;;;*** ;;;### (autoloads (bibtex-style-mode) "bibtex-style" "textmodes/bibtex-style.el" -;;;;;; (18157 34340)) +;;;;;; (18307 57872)) ;;; Generated autoloads from textmodes/bibtex-style.el (add-to-list 'auto-mode-alist '("\\.bst\\'" . bibtex-style-mode)) @@ -1877,9 +1878,9 @@ Major mode for editing BibTeX style files. ;;;*** ;;;### (autoloads (binhex-decode-region binhex-decode-region-external -;;;;;; binhex-decode-region-internal) "binhex" "gnus/binhex.el" -;;;;;; (18212 46006)) -;;; Generated autoloads from gnus/binhex.el +;;;;;; binhex-decode-region-internal) "binhex" "mail/binhex.el" +;;;;;; (18307 57868)) +;;; Generated autoloads from mail/binhex.el (defconst binhex-begin-line "^:...............................................................$") @@ -1901,8 +1902,8 @@ Binhex decode region between START and END. ;;;*** -;;;### (autoloads (blackbox) "blackbox" "play/blackbox.el" (18203 -;;;;;; 37788)) +;;;### (autoloads (blackbox) "blackbox" "play/blackbox.el" (18308 +;;;;;; 19808)) ;;; Generated autoloads from play/blackbox.el (autoload 'blackbox "blackbox" "\ @@ -2024,8 +2025,8 @@ a reflection. ;;;### (autoloads (bookmark-bmenu-list bookmark-load bookmark-save ;;;;;; bookmark-write bookmark-delete bookmark-insert bookmark-rename ;;;;;; bookmark-insert-location bookmark-relocate bookmark-jump-other-window -;;;;;; bookmark-jump bookmark-set) "bookmark" "bookmark.el" (18169 -;;;;;; 11930)) +;;;;;; bookmark-jump bookmark-set) "bookmark" "bookmark.el" (18302 +;;;;;; 4830)) ;;; Generated autoloads from bookmark.el (define-key ctl-x-map "rb" 'bookmark-jump) (define-key ctl-x-map "rm" 'bookmark-set) @@ -2222,7 +2223,7 @@ deletion, or > if it is flagged for displaying. ;;;;;; browse-url-of-dired-file browse-url-of-buffer browse-url-of-file ;;;;;; browse-url-url-at-point browse-url-galeon-program browse-url-firefox-program ;;;;;; browse-url-browser-function) "browse-url" "net/browse-url.el" -;;;;;; (18214 4479)) +;;;;;; (18307 57869)) ;;; Generated autoloads from net/browse-url.el (defvar browse-url-browser-function (cond ((memq system-type '(windows-nt ms-dos cygwin)) 'browse-url-default-windows-browser) ((memq system-type '(darwin)) 'browse-url-default-macosx-browser) (t 'browse-url-default-browser)) "\ @@ -2552,8 +2553,8 @@ from `browse-url-elinks-wrapper'. ;;;*** -;;;### (autoloads (snarf-bruces bruce) "bruce" "play/bruce.el" (18088 -;;;;;; 55113)) +;;;### (autoloads (snarf-bruces bruce) "bruce" "play/bruce.el" (18307 +;;;;;; 57870)) ;;; Generated autoloads from play/bruce.el (autoload 'bruce "bruce" "\ @@ -2569,7 +2570,7 @@ Return a vector containing the lines from `bruce-phrases-file'. ;;;*** ;;;### (autoloads (bs-show bs-customize bs-cycle-previous bs-cycle-next) -;;;;;; "bs" "bs.el" (18211 32385)) +;;;;;; "bs" "bs.el" (18302 26511)) ;;; Generated autoloads from bs.el (autoload 'bs-cycle-next "bs" "\ @@ -2609,7 +2610,7 @@ name of buffer configuration. ;;;*** -;;;### (autoloads (bubbles) "bubbles" "play/bubbles.el" (18157 34340)) +;;;### (autoloads (bubbles) "bubbles" "play/bubbles.el" (18308 19808)) ;;; Generated autoloads from play/bubbles.el (autoload 'bubbles "bubbles" "\ @@ -2620,8 +2621,8 @@ Play Bubbles game. ;;;*** ;;;### (autoloads (insert-text-button make-text-button insert-button -;;;;;; make-button define-button-type) "button" "button.el" (18133 -;;;;;; 60495)) +;;;;;; make-button define-button-type) "button" "button.el" (18307 +;;;;;; 57852)) ;;; Generated autoloads from button.el (defvar button-map (let ((map (make-sparse-keymap))) (define-key map "
" 'push-button) (define-key map [mouse-2] 'push-button) map) "\ @@ -2710,7 +2711,7 @@ Also see `make-text-button'. ;;;;;; compile-defun byte-compile-file byte-recompile-directory ;;;;;; byte-force-recompile byte-compile-enable-warning byte-compile-disable-warning ;;;;;; byte-compile-warnings-safe-p) "bytecomp" "emacs-lisp/bytecomp.el" -;;;;;; (18213 13926)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/bytecomp.el (put 'byte-compile-dynamic 'safe-local-variable 'booleanp) (put 'byte-compile-disable-print-circle 'safe-local-variable 'booleanp) @@ -2726,6 +2727,8 @@ Not documented Change `byte-compile-warnings' to disable WARNING. If `byte-compile-warnings' is t, set it to `(not WARNING)'. Otherwise, if the first element is `not', add WARNING, else remove it. +Normally you should let-bind `byte-compile-warnings' before calling this, +else the global value will be modified. \(fn WARNING)" nil nil) @@ -2733,6 +2736,8 @@ Otherwise, if the first element is `not', add WARNING, else remove it. Change `byte-compile-warnings' to enable WARNING. If `byte-compile-warnings' is `t', do nothing. Otherwise, if the first element is `not', remove WARNING, else add it. +Normally you should let-bind `byte-compile-warnings' before calling this, +else the global value will be modified. \(fn WARNING)" nil nil) @@ -2824,14 +2829,14 @@ Must be used only with `-batch', and kills Emacs on completion. For example, invoke `emacs -batch -f batch-byte-recompile-directory .'. Optional argument ARG is passed as second argument ARG to -`batch-recompile-directory'; see there for its possible values +`byte-recompile-directory'; see there for its possible values and corresponding effects. \(fn &optional ARG)" nil nil) ;;;*** -;;;### (autoloads nil "cal-dst" "calendar/cal-dst.el" (18088 55093)) +;;;### (autoloads nil "cal-dst" "calendar/cal-dst.el" (18307 57860)) ;;; Generated autoloads from calendar/cal-dst.el (put 'calendar-daylight-savings-starts 'risky-local-variable t) @@ -2841,7 +2846,7 @@ and corresponding effects. ;;;*** ;;;### (autoloads (list-yahrzeit-dates) "cal-hebrew" "calendar/cal-hebrew.el" -;;;;;; (18203 38492)) +;;;;;; (18307 57860)) ;;; Generated autoloads from calendar/cal-hebrew.el (autoload 'list-yahrzeit-dates "cal-hebrew" "\ @@ -2856,7 +2861,7 @@ from the cursor position. ;;;### (autoloads (defmath calc-embedded-activate calc-embedded calc-grab-rectangle ;;;;;; calc-grab-region full-calc-keypad calc-keypad calc-eval quick-calc ;;;;;; full-calc calc calc-dispatch calc-settings-file) "calc" "calc/calc.el" -;;;;;; (18214 4479)) +;;;;;; (18307 57860)) ;;; Generated autoloads from calc/calc.el (defvar calc-settings-file (convert-standard-filename "~/.calc.el") "\ @@ -2934,8 +2939,8 @@ Not documented ;;;*** -;;;### (autoloads (calculator) "calculator" "calculator.el" (18203 -;;;;;; 37786)) +;;;### (autoloads (calculator) "calculator" "calculator.el" (18307 +;;;;;; 57852)) ;;; Generated autoloads from calculator.el (autoload 'calculator "calculator" "\ @@ -2963,7 +2968,7 @@ See the documentation for `calculator-mode' for more information. ;;;;;; mark-holidays-in-calendar view-calendar-holidays-initially ;;;;;; calendar-remove-frame-by-deleting mark-diary-entries-in-calendar ;;;;;; view-diary-entries-initially calendar-offset) "calendar" -;;;;;; "calendar/calendar.el" (18157 34340)) +;;;;;; "calendar/calendar.el" (18307 57860)) ;;; Generated autoloads from calendar/calendar.el (defvar calendar-offset 0 "\ @@ -3367,7 +3372,7 @@ List of functions called for listing diary file and included files. As the files are processed for diary entries, these functions are used to cull relevant entries. You can use either or both of `list-hebrew-diary-entries', `list-islamic-diary-entries' and -`list-bahai-diary-entries'. The documentation for these functions +`diary-bahai-list-entries'. The documentation for these functions describes the style of such diary entries.") (custom-autoload 'nongregorian-diary-listing-hook "calendar" t) @@ -3536,7 +3541,7 @@ movement commands will not work correctly.") ;;;*** ;;;### (autoloads (canlock-verify canlock-insert-header) "canlock" -;;;;;; "gnus/canlock.el" (18088 55101)) +;;;;;; "gnus/canlock.el" (18307 57865)) ;;; Generated autoloads from gnus/canlock.el (autoload 'canlock-insert-header "canlock" "\ @@ -3553,15 +3558,15 @@ it fails. ;;;*** -;;;### (autoloads nil "cc-compat" "progmodes/cc-compat.el" (18088 -;;;;;; 55114)) +;;;### (autoloads nil "cc-compat" "progmodes/cc-compat.el" (18307 +;;;;;; 57870)) ;;; Generated autoloads from progmodes/cc-compat.el (put 'c-indent-level 'safe-local-variable 'integerp) ;;;*** ;;;### (autoloads (c-guess-basic-syntax) "cc-engine" "progmodes/cc-engine.el" -;;;;;; (18203 37789)) +;;;;;; (18316 2343)) ;;; Generated autoloads from progmodes/cc-engine.el (autoload 'c-guess-basic-syntax "cc-engine" "\ @@ -3573,7 +3578,7 @@ Return the syntactic context of the current line. ;;;### (autoloads (pike-mode idl-mode java-mode objc-mode c++-mode ;;;;;; c-mode c-initialize-cc-mode) "cc-mode" "progmodes/cc-mode.el" -;;;;;; (18191 7159)) +;;;;;; (18307 57870)) ;;; Generated autoloads from progmodes/cc-mode.el (autoload 'c-initialize-cc-mode "cc-mode" "\ @@ -3731,7 +3736,7 @@ Key bindings: ;;;*** ;;;### (autoloads (c-set-offset c-add-style c-set-style) "cc-styles" -;;;;;; "progmodes/cc-styles.el" (18192 17587)) +;;;;;; "progmodes/cc-styles.el" (18307 57871)) ;;; Generated autoloads from progmodes/cc-styles.el (autoload 'c-set-style "cc-styles" "\ @@ -3782,14 +3787,36 @@ and exists only for compatibility reasons. ;;;*** -;;;### (autoloads nil "cc-subword" "progmodes/cc-subword.el" (18088 -;;;;;; 55115)) +;;;### (autoloads (c-subword-mode) "cc-subword" "progmodes/cc-subword.el" +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/cc-subword.el - (autoload 'c-subword-mode "cc-subword" "Mode enabling subword movement and editing keys." t) + +(autoload 'c-subword-mode "cc-subword" "\ +Mode enabling subword movement and editing keys. +In spite of GNU Coding Standards, it is popular to name a symbol by +mixing uppercase and lowercase letters, e.g. \"GtkWidget\", +\"EmacsFrameClass\", \"NSGraphicsContext\", etc. Here we call these +mixed case symbols `nomenclatures'. Also, each capitalized (or +completely uppercase) part of a nomenclature is called a `subword'. +Here are some examples: + + Nomenclature Subwords + =========================================================== + GtkWindow => \"Gtk\" and \"Window\" + EmacsFrameClass => \"Emacs\", \"Frame\" and \"Class\" + NSGraphicsContext => \"NS\", \"Graphics\" and \"Context\" + +The subword oriented commands activated in this minor mode recognize +subwords in a nomenclature to move between subwords and to edit them +as words. + +\\{c-subword-mode-map} + +\(fn &optional ARG)" t nil) ;;;*** -;;;### (autoloads nil "cc-vars" "progmodes/cc-vars.el" (18120 34752)) +;;;### (autoloads nil "cc-vars" "progmodes/cc-vars.el" (18317 19256)) ;;; Generated autoloads from progmodes/cc-vars.el (put 'c-basic-offset 'safe-local-variable 'integerp) (put 'c-backslash-column 'safe-local-variable 'integerp) @@ -3799,7 +3826,7 @@ and exists only for compatibility reasons. ;;;### (autoloads (ccl-execute-with-args check-ccl-program define-ccl-program ;;;;;; declare-ccl-program ccl-dump ccl-compile) "ccl" "international/ccl.el" -;;;;;; (18088 55107)) +;;;;;; (18307 57867)) ;;; Generated autoloads from international/ccl.el (autoload 'ccl-compile "ccl" "\ @@ -4058,7 +4085,7 @@ See the documentation of `define-ccl-program' for the detail of CCL program. ;;;*** ;;;### (autoloads (cfengine-mode) "cfengine" "progmodes/cfengine.el" -;;;;;; (18088 55115)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/cfengine.el (autoload 'cfengine-mode "cfengine" "\ @@ -4072,6 +4099,26 @@ to the action header. ;;;*** +;;;### (autoloads (check-declare-directory check-declare-file) "check-declare" +;;;;;; "emacs-lisp/check-declare.el" (18308 19808)) +;;; Generated autoloads from emacs-lisp/check-declare.el + +(autoload 'check-declare-file "check-declare" "\ +Check veracity of all `declare-function' statements in FILE. +See `check-declare-directory' for more information. + +\(fn FILE)" t nil) + +(autoload 'check-declare-directory "check-declare" "\ +Check veracity of all `declare-function' statements under directory ROOT. +Returns non-nil if any false statements are found. For this to +work correctly, the statements must adhere to the format +described in the documentation of `declare-function'. + +\(fn ROOT)" t nil) + +;;;*** + ;;;### (autoloads (checkdoc-minor-mode checkdoc-ispell-defun checkdoc-ispell-comments ;;;;;; checkdoc-ispell-continue checkdoc-ispell-start checkdoc-ispell-message-text ;;;;;; checkdoc-ispell-message-interactive checkdoc-ispell-interactive @@ -4080,7 +4127,7 @@ to the action header. ;;;;;; checkdoc-comments checkdoc-continue checkdoc-start checkdoc-current-buffer ;;;;;; checkdoc-eval-current-buffer checkdoc-message-interactive ;;;;;; checkdoc-interactive checkdoc) "checkdoc" "emacs-lisp/checkdoc.el" -;;;;;; (18203 37787)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/checkdoc.el (put 'checkdoc-force-docstrings-flag 'safe-local-variable 'booleanp) (put 'checkdoc-permit-comma-termination-flag 'safe-local-variable 'booleanp) @@ -4266,8 +4313,8 @@ checking of documentation strings. ;;;*** ;;;### (autoloads (encode-hz-buffer encode-hz-region decode-hz-buffer -;;;;;; decode-hz-region) "china-util" "language/china-util.el" (18088 -;;;;;; 55108)) +;;;;;; decode-hz-region) "china-util" "language/china-util.el" (18311 +;;;;;; 19809)) ;;; Generated autoloads from language/china-util.el (autoload 'decode-hz-region "china-util" "\ @@ -4295,7 +4342,7 @@ Encode the text in the current buffer to HZ. ;;;*** ;;;### (autoloads (command-history list-command-history repeat-matching-complex-command) -;;;;;; "chistory" "chistory.el" (18088 55080)) +;;;;;; "chistory" "chistory.el" (18307 57853)) ;;; Generated autoloads from chistory.el (autoload 'repeat-matching-complex-command "chistory" "\ @@ -4334,7 +4381,7 @@ and runs the normal hook `command-history-hook'. ;;;*** -;;;### (autoloads nil "cl" "emacs-lisp/cl.el" (18213 13926)) +;;;### (autoloads nil "cl" "emacs-lisp/cl.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/cl.el (defvar custom-print-functions nil "\ @@ -4350,7 +4397,7 @@ a future Emacs interpreter will be able to use it.") ;;;*** ;;;### (autoloads (common-lisp-indent-function) "cl-indent" "emacs-lisp/cl-indent.el" -;;;;;; (18088 55095)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/cl-indent.el (autoload 'common-lisp-indent-function "cl-indent" "\ @@ -4361,7 +4408,7 @@ Not documented ;;;*** ;;;### (autoloads (c-macro-expand) "cmacexp" "progmodes/cmacexp.el" -;;;;;; (18088 55115)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/cmacexp.el (autoload 'c-macro-expand "cmacexp" "\ @@ -4381,8 +4428,8 @@ For use inside Lisp programs, see also `c-macro-expansion'. ;;;*** -;;;### (autoloads (run-scheme) "cmuscheme" "cmuscheme.el" (18088 -;;;;;; 55080)) +;;;### (autoloads (run-scheme) "cmuscheme" "cmuscheme.el" (18307 +;;;;;; 57853)) ;;; Generated autoloads from cmuscheme.el (autoload 'run-scheme "cmuscheme" "\ @@ -4404,7 +4451,7 @@ is run). ;;;*** ;;;### (autoloads (cp-make-coding-system) "code-pages" "international/code-pages.el" -;;;;;; (18088 55107)) +;;;;;; (18307 57867)) ;;; Generated autoloads from international/code-pages.el (autoload 'cp-make-coding-system "code-pages" "\ @@ -4465,7 +4512,7 @@ Return an updated `non-iso-charset-alist'. ;;;### (autoloads (codepage-setup cp-supported-codepages cp-offset-for-codepage ;;;;;; cp-language-for-codepage cp-charset-for-codepage cp-make-coding-systems-for-codepage) -;;;;;; "codepage" "international/codepage.el" (18088 55107)) +;;;;;; "codepage" "international/codepage.el" (18307 57867)) ;;; Generated autoloads from international/codepage.el (autoload 'cp-make-coding-systems-for-codepage "codepage" "\ @@ -4524,7 +4571,7 @@ read/written by MS-DOS software, or for display on the MS-DOS terminal. ;;;### (autoloads (comint-redirect-results-list-from-process comint-redirect-results-list ;;;;;; comint-redirect-send-command-to-process comint-redirect-send-command ;;;;;; comint-run make-comint make-comint-in-buffer) "comint" "comint.el" -;;;;;; (18208 48750)) +;;;;;; (18316 9691)) ;;; Generated autoloads from comint.el (defvar comint-output-filter-functions '(comint-postoutput-scroll-to-bottom comint-watch-for-password-prompt) "\ @@ -4614,8 +4661,8 @@ REGEXP-GROUP is the regular expression group in REGEXP to use. ;;;*** -;;;### (autoloads (compare-windows) "compare-w" "compare-w.el" (18088 -;;;;;; 55080)) +;;;### (autoloads (compare-windows) "compare-w" "compare-w.el" (18307 +;;;;;; 57854)) ;;; Generated autoloads from compare-w.el (autoload 'compare-windows "compare-w" "\ @@ -4652,7 +4699,7 @@ on third call it again advances points to the next difference and so on. ;;;;;; compilation-shell-minor-mode compilation-mode compilation-start ;;;;;; compile compilation-disable-input compile-command compilation-search-path ;;;;;; compilation-ask-about-save compilation-window-height compilation-mode-hook) -;;;;;; "compile" "progmodes/compile.el" (18202 4003)) +;;;;;; "compile" "progmodes/compile.el" (18316 2343)) ;;; Generated autoloads from progmodes/compile.el (defvar compilation-mode-hook nil "\ @@ -4820,7 +4867,7 @@ This is the value of `next-error-function' in Compilation buffers. ;;;*** ;;;### (autoloads (partial-completion-mode) "complete" "complete.el" -;;;;;; (18204 59927)) +;;;;;; (18307 57854)) ;;; Generated autoloads from complete.el (defvar partial-completion-mode nil "\ @@ -4862,7 +4909,7 @@ second TAB brings up the `*Completions*' buffer. ;;;*** ;;;### (autoloads (dynamic-completion-mode) "completion" "completion.el" -;;;;;; (18169 11930)) +;;;;;; (18307 57854)) ;;; Generated autoloads from completion.el (defvar dynamic-completion-mode nil "\ @@ -4884,7 +4931,7 @@ Enable dynamic word-completion. ;;;### (autoloads (decompose-composite-char compose-last-chars compose-chars-after ;;;;;; find-composition compose-chars decompose-string compose-string ;;;;;; decompose-region compose-region encode-composition-rule) -;;;;;; "composite" "composite.el" (18088 55081)) +;;;;;; "composite" "composite.el" (18307 57854)) ;;; Generated autoloads from composite.el (defconst reference-point-alist '((tl . 0) (tc . 1) (tr . 2) (Bl . 3) (Bc . 4) (Br . 5) (bl . 6) (bc . 7) (br . 8) (cl . 9) (cc . 10) (cr . 11) (top-left . 0) (top-center . 1) (top-right . 2) (base-left . 3) (base-center . 4) (base-right . 5) (bottom-left . 6) (bottom-center . 7) (bottom-right . 8) (center-left . 9) (center-center . 10) (center-right . 11) (ml . 3) (mc . 10) (mr . 5) (mid-left . 3) (mid-center . 10) (mid-right . 5)) "\ @@ -5106,7 +5153,7 @@ Optional 3rd arg WITH-COMPOSITION-RULE is ignored. ;;;### (autoloads (conf-xdefaults-mode conf-ppd-mode conf-colon-mode ;;;;;; conf-space-keywords conf-space-mode conf-javaprop-mode conf-windows-mode ;;;;;; conf-unix-mode conf-mode) "conf-mode" "textmodes/conf-mode.el" -;;;;;; (18208 48754)) +;;;;;; (18307 57872)) ;;; Generated autoloads from textmodes/conf-mode.el (autoload 'conf-mode "conf-mode" "\ @@ -5262,7 +5309,7 @@ For details see `conf-mode'. Example: ;;;*** ;;;### (autoloads (shuffle-vector cookie-snarf cookie-insert cookie) -;;;;;; "cookie1" "play/cookie1.el" (18088 55113)) +;;;;;; "cookie1" "play/cookie1.el" (18307 57870)) ;;; Generated autoloads from play/cookie1.el (autoload 'cookie "cookie1" "\ @@ -5294,7 +5341,7 @@ Randomly permute the elements of VECTOR (all permutations equally likely). ;;;*** ;;;### (autoloads (copyright copyright-fix-years copyright-update) -;;;;;; "copyright" "emacs-lisp/copyright.el" (18183 58476)) +;;;;;; "copyright" "emacs-lisp/copyright.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/copyright.el (autoload 'copyright-update "copyright" "\ @@ -5322,9 +5369,15 @@ Insert a copyright by $ORGANIZATION notice at cursor. ;;;*** ;;;### (autoloads (cperl-perldoc-at-point cperl-perldoc cperl-mode) -;;;;;; "cperl-mode" "progmodes/cperl-mode.el" (18214 4479)) +;;;;;; "cperl-mode" "progmodes/cperl-mode.el" (18307 57871)) ;;; Generated autoloads from progmodes/cperl-mode.el (put 'cperl-indent-level 'safe-local-variable 'integerp) +(put 'cperl-brace-offset 'safe-local-variable 'integerp) +(put 'cperl-continued-brace-offset 'safe-local-variable 'integerp) +(put 'cperl-label-offset 'safe-local-variable 'integerp) +(put 'cperl-continued-statement-offset 'safe-local-variable 'integerp) +(put 'cperl-extra-newline-before-brace 'safe-local-variable 'booleanp) +(put 'cperl-merge-trailing-else 'safe-local-variable 'booleanp) (autoload 'cperl-mode "cperl-mode" "\ Major mode for editing Perl code. @@ -5514,7 +5567,7 @@ Run a `perldoc' on the word around point. ;;;*** ;;;### (autoloads (cpp-parse-edit cpp-highlight-buffer) "cpp" "progmodes/cpp.el" -;;;;;; (18120 34752)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/cpp.el (autoload 'cpp-highlight-buffer "cpp" "\ @@ -5533,7 +5586,7 @@ Edit display information for cpp conditionals. ;;;*** ;;;### (autoloads (crisp-mode crisp-mode) "crisp" "emulation/crisp.el" -;;;;;; (18088 55097)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emulation/crisp.el (defvar crisp-mode nil "\ @@ -5557,7 +5610,7 @@ With ARG, turn CRiSP mode on if ARG is positive, off otherwise. ;;;*** ;;;### (autoloads (completing-read-multiple) "crm" "emacs-lisp/crm.el" -;;;;;; (18088 55095)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/crm.el (autoload 'completing-read-multiple "crm" "\ @@ -5592,8 +5645,8 @@ INHERIT-INPUT-METHOD. ;;;*** -;;;### (autoloads (css-mode) "css-mode" "textmodes/css-mode.el" (18211 -;;;;;; 32385)) +;;;### (autoloads (css-mode) "css-mode" "textmodes/css-mode.el" (18307 +;;;;;; 57872)) ;;; Generated autoloads from textmodes/css-mode.el (add-to-list 'auto-mode-alist '("\\.css\\'" . css-mode)) @@ -5605,7 +5658,7 @@ Major mode to edit Cascading Style Sheets. ;;;*** ;;;### (autoloads (cua-selection-mode cua-mode) "cua-base" "emulation/cua-base.el" -;;;;;; (18186 14736)) +;;;;;; (18307 57862)) ;;; Generated autoloads from emulation/cua-base.el (defvar cua-mode nil "\ @@ -5664,7 +5717,7 @@ Enable CUA selection mode without the C-z/C-x/C-c/C-v bindings. ;;;;;; customize-mode customize customize-save-variable customize-set-variable ;;;;;; customize-set-value custom-menu-sort-alphabetically custom-buffer-sort-alphabetically ;;;;;; custom-browse-sort-alphabetically) "cus-edit" "cus-edit.el" -;;;;;; (18212 54459)) +;;;;;; (18304 46561)) ;;; Generated autoloads from cus-edit.el (defvar custom-browse-sort-alphabetically nil "\ @@ -5862,7 +5915,7 @@ that are not customizable options, as well as faces and groups (autoload 'customize-apropos-options "cus-edit" "\ Customize all loaded customizable options matching REGEXP. With prefix arg, include variables that are not customizable options -\(but we recommend using `apropos-variable' instead). +\(but it is better to use `apropos-variable' if you want to find those). \(fn REGEXP &optional ARG)" t nil) @@ -5962,7 +6015,7 @@ The format is suitable for use with `easy-menu-define'. ;;;*** ;;;### (autoloads (custom-reset-faces custom-theme-reset-faces custom-set-faces -;;;;;; custom-declare-face) "cus-face" "cus-face.el" (18157 61328)) +;;;;;; custom-declare-face) "cus-face" "cus-face.el" (18307 57854)) ;;; Generated autoloads from cus-face.el (autoload 'custom-declare-face "cus-face" "\ @@ -6032,7 +6085,7 @@ This means reset FACE to its value in FROM-THEME. ;;;*** ;;;### (autoloads (customize-create-theme) "cus-theme" "cus-theme.el" -;;;;;; (18088 55081)) +;;;;;; (18307 57854)) ;;; Generated autoloads from cus-theme.el (autoload 'customize-create-theme "cus-theme" "\ @@ -6043,7 +6096,7 @@ Create a custom theme. ;;;*** ;;;### (autoloads (cvs-status-mode) "cvs-status" "cvs-status.el" -;;;;;; (18088 55081)) +;;;;;; (18307 57854)) ;;; Generated autoloads from cvs-status.el (autoload 'cvs-status-mode "cvs-status" "\ @@ -6054,7 +6107,7 @@ Mode used for cvs status output. ;;;*** ;;;### (autoloads (global-cwarn-mode turn-on-cwarn-mode cwarn-mode) -;;;;;; "cwarn" "progmodes/cwarn.el" (18088 55115)) +;;;;;; "cwarn" "progmodes/cwarn.el" (18307 57871)) ;;; Generated autoloads from progmodes/cwarn.el (autoload 'cwarn-mode "cwarn" "\ @@ -6097,7 +6150,7 @@ See `cwarn-mode' for more information on Cwarn mode. ;;;### (autoloads (standard-display-cyrillic-translit cyrillic-encode-alternativnyj-char ;;;;;; cyrillic-encode-koi8-r-char) "cyril-util" "language/cyril-util.el" -;;;;;; (18088 55108)) +;;;;;; (18307 57867)) ;;; Generated autoloads from language/cyril-util.el (autoload 'cyrillic-encode-koi8-r-char "cyril-util" "\ @@ -6126,7 +6179,7 @@ If the argument is nil, we return the display table to its standard state. ;;;*** ;;;### (autoloads (dabbrev-expand dabbrev-completion) "dabbrev" "dabbrev.el" -;;;;;; (18126 18419)) +;;;;;; (18307 57854)) ;;; Generated autoloads from dabbrev.el (define-key esc-map "/" 'dabbrev-expand) (define-key esc-map [?\C-/] 'dabbrev-completion) @@ -6170,8 +6223,21 @@ See also `dabbrev-abbrev-char-regexp' and \\[dabbrev-completion]. ;;;*** -;;;### (autoloads (dcl-mode) "dcl-mode" "progmodes/dcl-mode.el" (18203 -;;;;;; 37789)) +;;;### (autoloads (dbus-handle-event) "dbus" "net/dbus.el" (18303 +;;;;;; 25698)) +;;; Generated autoloads from net/dbus.el + +(autoload 'dbus-handle-event "dbus" "\ +Handle events from the D-Bus. +EVENT is a D-Bus event, see `dbus-check-event'. HANDLER, being +part of the event, is called with arguments ARGS. + +\(fn EVENT)" t nil) + +;;;*** + +;;;### (autoloads (dcl-mode) "dcl-mode" "progmodes/dcl-mode.el" (18307 +;;;;;; 57871)) ;;; Generated autoloads from progmodes/dcl-mode.el (autoload 'dcl-mode "dcl-mode" "\ @@ -6298,7 +6364,7 @@ There is some minimal font-lock support (see vars ;;;*** ;;;### (autoloads (cancel-debug-on-entry debug-on-entry debug) "debug" -;;;;;; "emacs-lisp/debug.el" (18197 21672)) +;;;;;; "emacs-lisp/debug.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/debug.el (setq debugger 'debug) @@ -6342,7 +6408,7 @@ To specify a nil argument interactively, exit with an empty minibuffer. ;;;*** ;;;### (autoloads (decipher-mode decipher) "decipher" "play/decipher.el" -;;;;;; (18202 4002)) +;;;;;; (18307 57870)) ;;; Generated autoloads from play/decipher.el (autoload 'decipher "decipher" "\ @@ -6371,8 +6437,8 @@ The most useful commands are: ;;;*** ;;;### (autoloads (delimit-columns-rectangle delimit-columns-region -;;;;;; delimit-columns-customize) "delim-col" "delim-col.el" (18088 -;;;;;; 55081)) +;;;;;; delimit-columns-customize) "delim-col" "delim-col.el" (18307 +;;;;;; 57854)) ;;; Generated autoloads from delim-col.el (autoload 'delimit-columns-customize "delim-col" "\ @@ -6396,8 +6462,8 @@ START and END delimits the corners of text rectangle. ;;;*** -;;;### (autoloads (delphi-mode) "delphi" "progmodes/delphi.el" (18200 -;;;;;; 51266)) +;;;### (autoloads (delphi-mode) "delphi" "progmodes/delphi.el" (18307 +;;;;;; 57871)) ;;; Generated autoloads from progmodes/delphi.el (autoload 'delphi-mode "delphi" "\ @@ -6447,8 +6513,8 @@ no args, if that value is non-nil. ;;;*** -;;;### (autoloads (delete-selection-mode) "delsel" "delsel.el" (18186 -;;;;;; 14736)) +;;;### (autoloads (delete-selection-mode) "delsel" "delsel.el" (18307 +;;;;;; 57854)) ;;; Generated autoloads from delsel.el (defalias 'pending-delete-mode 'delete-selection-mode) @@ -6464,8 +6530,8 @@ or call the function `delete-selection-mode'.") (autoload 'delete-selection-mode "delsel" "\ Toggle Delete Selection mode. -With prefix ARG, turn Delete Selection mode on if and only if ARG is -positive. +With prefix ARG, turn Delete Selection mode on if ARG is +positive, off if ARG is not positive. When Delete Selection mode is enabled, Transient Mark mode is also enabled and typed text replaces the selection if the selection is @@ -6477,7 +6543,7 @@ any selection. ;;;*** ;;;### (autoloads (derived-mode-init-mode-variables define-derived-mode) -;;;;;; "derived" "emacs-lisp/derived.el" (18088 55095)) +;;;;;; "derived" "emacs-lisp/derived.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/derived.el (autoload 'define-derived-mode "derived" "\ @@ -6542,7 +6608,7 @@ the first time the mode is used. ;;;*** ;;;### (autoloads (describe-char describe-text-properties) "descr-text" -;;;;;; "descr-text.el" (18088 55081)) +;;;;;; "descr-text.el" (18307 57854)) ;;; Generated autoloads from descr-text.el (autoload 'describe-text-properties "descr-text" "\ @@ -6568,7 +6634,7 @@ as well as widgets, buttons, overlays, and text properties. ;;;### (autoloads (desktop-revert desktop-save-in-desktop-dir desktop-change-dir ;;;;;; desktop-load-default desktop-read desktop-remove desktop-save ;;;;;; desktop-clear desktop-locals-to-save desktop-save-mode) "desktop" -;;;;;; "desktop.el" (18211 32385)) +;;;;;; "desktop.el" (18307 57854)) ;;; Generated autoloads from desktop.el (defvar desktop-save-mode nil "\ @@ -6752,7 +6818,7 @@ Revert to the last loaded desktop. ;;;### (autoloads (gnus-article-outlook-deuglify-article gnus-outlook-deuglify-article ;;;;;; gnus-article-outlook-repair-attribution gnus-article-outlook-unwrap-lines) -;;;;;; "deuglify" "gnus/deuglify.el" (18212 46006)) +;;;;;; "deuglify" "gnus/deuglify.el" (18307 57865)) ;;; Generated autoloads from gnus/deuglify.el (autoload 'gnus-article-outlook-unwrap-lines "deuglify" "\ @@ -6785,7 +6851,7 @@ Deuglify broken Outlook (Express) articles and redisplay. ;;;*** ;;;### (autoloads (devanagari-post-read-conversion devanagari-compose-region) -;;;;;; "devan-util" "language/devan-util.el" (18088 55109)) +;;;;;; "devan-util" "language/devan-util.el" (18307 57867)) ;;; Generated autoloads from language/devan-util.el (defconst devanagari-consonant "[\x51ad5-\x51af9\x51b38-\x51b3f]") @@ -6803,7 +6869,7 @@ Not documented ;;;*** ;;;### (autoloads (diary-mode diary-mail-entries diary) "diary-lib" -;;;;;; "calendar/diary-lib.el" (18157 34340)) +;;;;;; "calendar/diary-lib.el" (18307 57860)) ;;; Generated autoloads from calendar/diary-lib.el (autoload 'diary "diary-lib" "\ @@ -6849,7 +6915,7 @@ Major mode for editing the diary file. ;;;*** ;;;### (autoloads (diff-backup diff diff-command diff-switches) "diff" -;;;;;; "diff.el" (18210 30826)) +;;;;;; "diff.el" (18307 57854)) ;;; Generated autoloads from diff.el (defvar diff-switches "-c" "\ @@ -6883,7 +6949,7 @@ With prefix arg, prompt for diff switches. ;;;*** ;;;### (autoloads (diff-minor-mode diff-mode) "diff-mode" "diff-mode.el" -;;;;;; (18203 37786)) +;;;;;; (18316 12901)) ;;; Generated autoloads from diff-mode.el (autoload 'diff-mode "diff-mode" "\ @@ -6915,7 +6981,7 @@ Minor mode for viewing/editing context diffs. ;;;;;; dired dired-copy-preserve-time dired-dwim-target dired-keep-marker-symlink ;;;;;; dired-keep-marker-hardlink dired-keep-marker-copy dired-keep-marker-rename ;;;;;; dired-trivial-filenames dired-ls-F-marks-symlinks dired-listing-switches) -;;;;;; "dired" "dired.el" (18200 51263)) +;;;;;; "dired" "dired.el" (18307 57854)) ;;; Generated autoloads from dired.el (defvar dired-listing-switches "-al" "\ @@ -6929,7 +6995,7 @@ some of the `ls' switches are not supported; see the doc string of (custom-autoload 'dired-listing-switches "dired" t) -(defvar dired-chown-program (if (memq system-type '(hpux dgux usg-unix-v irix linux gnu/linux cygwin)) "chown" (if (file-exists-p "/usr/sbin/chown") "/usr/sbin/chown" "/etc/chown")) "\ +(defvar dired-chown-program (if (memq system-type '(hpux usg-unix-v irix linux gnu/linux cygwin)) "chown" (if (file-exists-p "/usr/sbin/chown") "/usr/sbin/chown" "/etc/chown")) "\ Name of chown command (usually `chown' or `/etc/chown').") (defvar dired-ls-F-marks-symlinks nil "\ @@ -7120,7 +7186,7 @@ Keybindings: ;;;;;; dired-run-shell-command dired-do-shell-command dired-clean-directory ;;;;;; dired-do-print dired-do-touch dired-do-chown dired-do-chgrp ;;;;;; dired-do-chmod dired-compare-directories dired-backup-diff -;;;;;; dired-diff) "dired-aux" "dired-aux.el" (18169 11930)) +;;;;;; dired-diff) "dired-aux" "dired-aux.el" (18307 57854)) ;;; Generated autoloads from dired-aux.el (autoload 'dired-diff "dired-aux" "\ @@ -7535,7 +7601,7 @@ true then the type of the file linked to by FILE is printed instead. ;;;*** ;;;### (autoloads (dired-do-relsymlink dired-jump) "dired-x" "dired-x.el" -;;;;;; (18212 46004)) +;;;;;; (18307 57854)) ;;; Generated autoloads from dired-x.el (autoload 'dired-jump "dired-x" "\ @@ -7565,12 +7631,16 @@ For absolute symlinks, use \\[dired-do-symlink]. ;;;*** ;;;### (autoloads (dirtrack dirtrack-mode) "dirtrack" "dirtrack.el" -;;;;;; (18213 13926)) +;;;;;; (18307 57854)) ;;; Generated autoloads from dirtrack.el (autoload 'dirtrack-mode "dirtrack" "\ Enable or disable Dirtrack directory tracking in a shell buffer. -This provides an alternative to `shell-dirtrack-mode'. +This method requires that your shell prompt contain the full +current working directory at all times, and that `dirtrack-list' +is set to match the prompt. This is an alternative to +`shell-dirtrack-mode', which works differently, by tracking `cd' +and similar commands which change the shell working directory. \(fn &optional ARG)" t nil) @@ -7587,8 +7657,8 @@ function `dirtrack-debug-mode' to turn on debugging output. ;;;*** -;;;### (autoloads (disassemble) "disass" "emacs-lisp/disass.el" (18173 -;;;;;; 8195)) +;;;### (autoloads (disassemble) "disass" "emacs-lisp/disass.el" (18307 +;;;;;; 57861)) ;;; Generated autoloads from emacs-lisp/disass.el (autoload 'disassemble "disass" "\ @@ -7607,7 +7677,7 @@ redefine OBJECT if it is a symbol. ;;;;;; standard-display-g1 standard-display-ascii standard-display-default ;;;;;; standard-display-8bit describe-current-display-table describe-display-table ;;;;;; set-display-table-slot display-table-slot make-display-table) -;;;;;; "disp-table" "disp-table.el" (18088 55082)) +;;;;;; "disp-table" "disp-table.el" (18307 57854)) ;;; Generated autoloads from disp-table.el (autoload 'make-display-table "disp-table" "\ @@ -7723,7 +7793,7 @@ for users who call this function in `.emacs'. ;;;*** ;;;### (autoloads (dissociated-press) "dissociate" "play/dissociate.el" -;;;;;; (18088 55113)) +;;;;;; (18307 57870)) ;;; Generated autoloads from play/dissociate.el (autoload 'dissociated-press "dissociate" "\ @@ -7739,7 +7809,7 @@ Default is 2. ;;;*** -;;;### (autoloads (dnd-protocol-alist) "dnd" "dnd.el" (18088 55082)) +;;;### (autoloads (dnd-protocol-alist) "dnd" "dnd.el" (18307 57854)) ;;; Generated autoloads from dnd.el (defvar dnd-protocol-alist '(("^file:///" . dnd-open-local-file) ("^file://" . dnd-open-file) ("^file:" . dnd-open-local-file) ("^\\(https?\\|ftp\\|file\\|nfs\\)://" . dnd-open-file)) "\ @@ -7760,7 +7830,7 @@ if some action was made, or nil if the URL is ignored.") ;;;*** ;;;### (autoloads (dns-mode-soa-increment-serial dns-mode) "dns-mode" -;;;;;; "textmodes/dns-mode.el" (18088 55119)) +;;;;;; "textmodes/dns-mode.el" (18307 57872)) ;;; Generated autoloads from textmodes/dns-mode.el (autoload 'dns-mode "dns-mode" "\ @@ -7784,20 +7854,38 @@ Locate SOA record and increment the serial field. ;;;*** -;;;### (autoloads (doc-view-mode) "doc-view" "doc-view.el" (18201 -;;;;;; 33325)) +;;;### (autoloads (doc-view-bookmark-jump doc-view-minor-mode doc-view-mode +;;;;;; doc-view-mode-p) "doc-view" "doc-view.el" (18310 28916)) ;;; Generated autoloads from doc-view.el +(autoload 'doc-view-mode-p "doc-view" "\ +Return non-nil if image type TYPE is available for `doc-view'. +Image types are symbols like `dvi', `postscript' or `pdf'. + +\(fn TYPE)" nil nil) + (autoload 'doc-view-mode "doc-view" "\ Major mode in DocView buffers. You can use \\<doc-view-mode-map>\\[doc-view-toggle-display] to -toggle between display as a set of images and display as text. +toggle between displaying the document or editing it as text. \(fn)" t nil) +(autoload 'doc-view-minor-mode "doc-view" "\ +Toggle Doc view minor mode. +With arg, turn Doc view minor mode on if arg is positive, off otherwise. +See the command `doc-view-mode' for more information on this mode. + +\(fn &optional ARG)" t nil) + +(autoload 'doc-view-bookmark-jump "doc-view" "\ +Not documented + +\(fn BMK)" nil nil) + ;;;*** -;;;### (autoloads (doctor) "doctor" "play/doctor.el" (18088 55113)) +;;;### (autoloads (doctor) "doctor" "play/doctor.el" (18307 57870)) ;;; Generated autoloads from play/doctor.el (autoload 'doctor "doctor" "\ @@ -7807,7 +7895,7 @@ Switch to *doctor* buffer and start giving psychotherapy. ;;;*** -;;;### (autoloads (double-mode) "double" "double.el" (18203 37786)) +;;;### (autoloads (double-mode) "double" "double.el" (18307 57855)) ;;; Generated autoloads from double.el (autoload 'double-mode "double" "\ @@ -7822,7 +7910,7 @@ when pressed twice. See variable `double-map' for details. ;;;*** -;;;### (autoloads (dunnet) "dunnet" "play/dunnet.el" (18088 55113)) +;;;### (autoloads (dunnet) "dunnet" "play/dunnet.el" (18307 57870)) ;;; Generated autoloads from play/dunnet.el (autoload 'dunnet "dunnet" "\ @@ -7833,7 +7921,7 @@ Switch to *dungeon* buffer and start game. ;;;*** ;;;### (autoloads (gnus-earcon-display) "earcon" "gnus/earcon.el" -;;;;;; (18088 55101)) +;;;;;; (18307 57865)) ;;; Generated autoloads from gnus/earcon.el (autoload 'gnus-earcon-display "earcon" "\ @@ -7845,7 +7933,7 @@ Play sounds in message buffers. ;;;### (autoloads (easy-mmode-defsyntax easy-mmode-defmap easy-mmode-define-keymap ;;;;;; define-globalized-minor-mode define-minor-mode) "easy-mmode" -;;;;;; "emacs-lisp/easy-mmode.el" (18201 33326)) +;;;;;; "emacs-lisp/easy-mmode.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/easy-mmode.el (defalias 'easy-mmode-define-minor-mode 'define-minor-mode) @@ -7939,8 +8027,8 @@ CSS contains a list of syntax specifications of the form (CHAR . SYNTAX). ;;;*** ;;;### (autoloads (easy-menu-change easy-menu-create-menu easy-menu-do-define -;;;;;; easy-menu-define) "easymenu" "emacs-lisp/easymenu.el" (18120 -;;;;;; 34750)) +;;;;;; easy-menu-define) "easymenu" "emacs-lisp/easymenu.el" (18317 +;;;;;; 19256)) ;;; Generated autoloads from emacs-lisp/easymenu.el (put 'easy-menu-define 'lisp-indent-function 'defun) @@ -8013,10 +8101,15 @@ whenever this expression's value is non-nil. INCLUDE is an expression; this item is only visible if this expression has a non-nil value. `:included' is an alias for `:visible'. + :label FORM + +FORM is an expression that will be dynamically evaluated and whose +value will be used for the menu entry's text label (the default is NAME). + :suffix FORM FORM is an expression that will be dynamically evaluated and whose -value will be concatenated to the menu entry's NAME. +value will be concatenated to the menu entry's label. :style STYLE @@ -8089,7 +8182,7 @@ To implement dynamic menus, either call this from ;;;;;; ebnf-eps-file ebnf-eps-directory ebnf-spool-region ebnf-spool-buffer ;;;;;; ebnf-spool-file ebnf-spool-directory ebnf-print-region ebnf-print-buffer ;;;;;; ebnf-print-file ebnf-print-directory ebnf-customize) "ebnf2ps" -;;;;;; "progmodes/ebnf2ps.el" (18192 17587)) +;;;;;; "progmodes/ebnf2ps.el" (18307 57871)) ;;; Generated autoloads from progmodes/ebnf2ps.el (autoload 'ebnf-customize "ebnf2ps" "\ @@ -8363,8 +8456,8 @@ See `ebnf-style-database' documentation. ;;;;;; ebrowse-tags-find-declaration-other-window ebrowse-tags-find-definition ;;;;;; ebrowse-tags-view-definition ebrowse-tags-find-declaration ;;;;;; ebrowse-tags-view-declaration ebrowse-member-mode ebrowse-electric-choose-tree -;;;;;; ebrowse-tree-mode) "ebrowse" "progmodes/ebrowse.el" (18197 -;;;;;; 21675)) +;;;;;; ebrowse-tree-mode) "ebrowse" "progmodes/ebrowse.el" (18307 +;;;;;; 57871)) ;;; Generated autoloads from progmodes/ebrowse.el (autoload 'ebrowse-tree-mode "ebrowse" "\ @@ -8515,7 +8608,7 @@ Display statistics for a class tree. ;;;*** ;;;### (autoloads (electric-buffer-list) "ebuff-menu" "ebuff-menu.el" -;;;;;; (18133 2196)) +;;;;;; (18307 57855)) ;;; Generated autoloads from ebuff-menu.el (autoload 'electric-buffer-list "ebuff-menu" "\ @@ -8540,7 +8633,7 @@ Run hooks in `electric-buffer-menu-mode-hook' on entry. ;;;*** ;;;### (autoloads (Electric-command-history-redo-expression) "echistory" -;;;;;; "echistory.el" (18133 2196)) +;;;;;; "echistory.el" (18307 57855)) ;;; Generated autoloads from echistory.el (autoload 'Electric-command-history-redo-expression "echistory" "\ @@ -8552,7 +8645,7 @@ With prefix arg NOCONFIRM, execute current line as-is without editing. ;;;*** ;;;### (autoloads (ecomplete-setup) "ecomplete" "gnus/ecomplete.el" -;;;;;; (18212 21473)) +;;;;;; (18308 19808)) ;;; Generated autoloads from gnus/ecomplete.el (autoload 'ecomplete-setup "ecomplete" "\ @@ -8564,7 +8657,7 @@ Not documented ;;;### (autoloads (edebug-all-forms edebug-all-defs edebug-eval-top-level-form ;;;;;; edebug-basic-spec edebug-all-forms edebug-all-defs) "edebug" -;;;;;; "emacs-lisp/edebug.el" (18197 21672)) +;;;;;; "emacs-lisp/edebug.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/edebug.el (defvar edebug-all-defs nil "\ @@ -8629,15 +8722,15 @@ Toggle edebugging of all forms. ;;;*** ;;;### (autoloads (ediff-documentation ediff-version ediff-revision -;;;;;; ediff-patch-buffer ediff-patch-file run-ediff-from-cvs-buffer -;;;;;; ediff-merge-revisions-with-ancestor ediff-merge-revisions -;;;;;; ediff-merge-buffers-with-ancestor ediff-merge-buffers ediff-merge-files-with-ancestor -;;;;;; ediff-merge-files ediff-regions-linewise ediff-regions-wordwise -;;;;;; ediff-windows-linewise ediff-windows-wordwise ediff-merge-directory-revisions-with-ancestor -;;;;;; ediff-merge-directory-revisions ediff-merge-directories-with-ancestor -;;;;;; ediff-merge-directories ediff-directories3 ediff-directory-revisions -;;;;;; ediff-directories ediff-buffers3 ediff-buffers ediff-backup -;;;;;; ediff-files3 ediff-files) "ediff" "ediff.el" (18120 34749)) +;;;;;; ediff-patch-buffer ediff-patch-file ediff-merge-revisions-with-ancestor +;;;;;; ediff-merge-revisions ediff-merge-buffers-with-ancestor ediff-merge-buffers +;;;;;; ediff-merge-files-with-ancestor ediff-merge-files ediff-regions-linewise +;;;;;; ediff-regions-wordwise ediff-windows-linewise ediff-windows-wordwise +;;;;;; ediff-merge-directory-revisions-with-ancestor ediff-merge-directory-revisions +;;;;;; ediff-merge-directories-with-ancestor ediff-merge-directories +;;;;;; ediff-directories3 ediff-directory-revisions ediff-directories +;;;;;; ediff-buffers3 ediff-buffers ediff-backup ediff-files3 ediff-files) +;;;;;; "ediff" "ediff.el" (18309 50453)) ;;; Generated autoloads from ediff.el (autoload 'ediff-files "ediff" "\ @@ -8813,13 +8906,6 @@ buffer. \(fn &optional FILE STARTUP-HOOKS MERGE-BUFFER-FILE)" t nil) -(autoload 'run-ediff-from-cvs-buffer "ediff" "\ -Run Ediff-merge on appropriate revisions of the selected file. -First run after `M-x cvs-update'. Then place the cursor on a line describing a -file and then run `run-ediff-from-cvs-buffer'. - -\(fn POS)" t nil) - (autoload 'ediff-patch-file "ediff" "\ Run Ediff by patching SOURCE-FILENAME. If optional PATCH-BUF is given, use the patch in that buffer @@ -8869,7 +8955,7 @@ With optional NODE, goes to that node. ;;;*** ;;;### (autoloads (ediff-customize) "ediff-help" "ediff-help.el" -;;;;;; (18202 3993)) +;;;;;; (18307 57855)) ;;; Generated autoloads from ediff-help.el (autoload 'ediff-customize "ediff-help" "\ @@ -8879,7 +8965,7 @@ Not documented ;;;*** -;;;### (autoloads nil "ediff-hook" "ediff-hook.el" (18203 37786)) +;;;### (autoloads nil "ediff-hook" "ediff-hook.el" (18307 57855)) ;;; Generated autoloads from ediff-hook.el (defvar ediff-window-setup-function) @@ -8892,7 +8978,7 @@ Not documented ;;;*** ;;;### (autoloads (ediff-show-registry) "ediff-mult" "ediff-mult.el" -;;;;;; (18169 11930)) +;;;;;; (18307 57855)) ;;; Generated autoloads from ediff-mult.el (autoload 'ediff-show-registry "ediff-mult" "\ @@ -8905,7 +8991,7 @@ Display Ediff's registry. ;;;*** ;;;### (autoloads (ediff-toggle-use-toolbar ediff-toggle-multiframe) -;;;;;; "ediff-util" "ediff-util.el" (18214 4759)) +;;;;;; "ediff-util" "ediff-util.el" (18309 50453)) ;;; Generated autoloads from ediff-util.el (autoload 'ediff-toggle-multiframe "ediff-util" "\ @@ -8926,7 +9012,7 @@ To change the default, set the variable `ediff-use-toolbar-p', which see. ;;;### (autoloads (format-kbd-macro read-kbd-macro edit-named-kbd-macro ;;;;;; edit-last-kbd-macro edit-kbd-macro) "edmacro" "edmacro.el" -;;;;;; (18133 2196)) +;;;;;; (18307 57855)) ;;; Generated autoloads from edmacro.el (defvar edmacro-eight-bits nil "\ @@ -8979,7 +9065,7 @@ or nil, use a compact 80-column format. ;;;*** ;;;### (autoloads (edt-emulation-on edt-set-scroll-margins) "edt" -;;;;;; "emulation/edt.el" (18212 54459)) +;;;;;; "emulation/edt.el" (18307 57862)) ;;; Generated autoloads from emulation/edt.el (autoload 'edt-set-scroll-margins "edt" "\ @@ -8997,7 +9083,7 @@ Turn on EDT Emulation. ;;;*** ;;;### (autoloads (electric-helpify with-electric-help) "ehelp" "ehelp.el" -;;;;;; (18088 55083)) +;;;;;; (18307 57855)) ;;; Generated autoloads from ehelp.el (autoload 'with-electric-help "ehelp" "\ @@ -9034,7 +9120,7 @@ Not documented ;;;*** ;;;### (autoloads (turn-on-eldoc-mode eldoc-mode eldoc-minor-mode-string) -;;;;;; "eldoc" "emacs-lisp/eldoc.el" (18173 8195)) +;;;;;; "eldoc" "emacs-lisp/eldoc.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/eldoc.el (defvar eldoc-minor-mode-string " ElDoc" "\ @@ -9072,8 +9158,8 @@ Emacs Lisp mode) that support Eldoc.") ;;;*** -;;;### (autoloads (elide-head) "elide-head" "elide-head.el" (18088 -;;;;;; 55083)) +;;;### (autoloads (elide-head) "elide-head" "elide-head.el" (18307 +;;;;;; 57855)) ;;; Generated autoloads from elide-head.el (autoload 'elide-head "elide-head" "\ @@ -9089,7 +9175,7 @@ This is suitable as an entry on `find-file-hook' or appropriate mode hooks. ;;;*** ;;;### (autoloads (elint-initialize) "elint" "emacs-lisp/elint.el" -;;;;;; (18173 8195)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/elint.el (autoload 'elint-initialize "elint" "\ @@ -9100,8 +9186,8 @@ Initialize elint. ;;;*** ;;;### (autoloads (elp-results elp-instrument-package elp-instrument-list -;;;;;; elp-instrument-function) "elp" "emacs-lisp/elp.el" (18173 -;;;;;; 8195)) +;;;;;; elp-instrument-function) "elp" "emacs-lisp/elp.el" (18307 +;;;;;; 57861)) ;;; Generated autoloads from emacs-lisp/elp.el (autoload 'elp-instrument-function "elp" "\ @@ -9127,7 +9213,7 @@ For example, to instrument all ELP functions, do the following: (autoload 'elp-results "elp" "\ Display current profiling results. If `elp-reset-after-results' is non-nil, then current profiling -information for all instrumented functions are reset after results are +information for all instrumented functions is reset after results are displayed. \(fn)" t nil) @@ -9135,7 +9221,7 @@ displayed. ;;;*** ;;;### (autoloads (report-emacs-bug) "emacsbug" "mail/emacsbug.el" -;;;;;; (18173 8195)) +;;;;;; (18302 4831)) ;;; Generated autoloads from mail/emacsbug.el (autoload 'report-emacs-bug "emacsbug" "\ @@ -9228,7 +9314,7 @@ Not documented ;;;*** ;;;### (autoloads (encoded-kbd-setup-display) "encoded-kb" "international/encoded-kb.el" -;;;;;; (18200 51265)) +;;;;;; (18307 57867)) ;;; Generated autoloads from international/encoded-kb.el (autoload 'encoded-kbd-setup-display "encoded-kb" "\ @@ -9240,24 +9326,8 @@ DISPLAY may be a display id, a frame, or nil for the selected frame's display. ;;;*** -;;;### (autoloads (encrypt-insert-file-contents encrypt-find-model) -;;;;;; "encrypt" "gnus/encrypt.el" (18212 21482)) -;;; Generated autoloads from gnus/encrypt.el - -(autoload 'encrypt-find-model "encrypt" "\ -Given a filename, find a encrypt-file-alist entry - -\(fn FILENAME)" nil nil) - -(autoload 'encrypt-insert-file-contents "encrypt" "\ -Decrypt FILE into the current buffer. - -\(fn FILE &optional MODEL)" t nil) - -;;;*** - ;;;### (autoloads (enriched-decode enriched-encode enriched-mode) -;;;;;; "enriched" "textmodes/enriched.el" (18088 55119)) +;;;;;; "enriched" "textmodes/enriched.el" (18307 57872)) ;;; Generated autoloads from textmodes/enriched.el (autoload 'enriched-mode "enriched" "\ @@ -9288,7 +9358,7 @@ Not documented ;;;*** ;;;### (autoloads (erc-handle-irc-url erc erc-select-read-args) "erc" -;;;;;; "erc/erc.el" (18213 13926)) +;;;;;; "erc/erc.el" (18307 57865)) ;;; Generated autoloads from erc/erc.el (autoload 'erc-select-read-args "erc" "\ @@ -9330,33 +9400,33 @@ Otherwise, connect to HOST:PORT as USER and /join CHANNEL. ;;;*** -;;;### (autoloads nil "erc-autoaway" "erc/erc-autoaway.el" (18088 -;;;;;; 55099)) +;;;### (autoloads nil "erc-autoaway" "erc/erc-autoaway.el" (18307 +;;;;;; 57864)) ;;; Generated autoloads from erc/erc-autoaway.el (autoload 'erc-autoaway-mode "erc-autoaway") ;;;*** -;;;### (autoloads nil "erc-button" "erc/erc-button.el" (18147 59472)) +;;;### (autoloads nil "erc-button" "erc/erc-button.el" (18307 57864)) ;;; Generated autoloads from erc/erc-button.el (autoload 'erc-button-mode "erc-button" nil t) ;;;*** -;;;### (autoloads nil "erc-capab" "erc/erc-capab.el" (18088 55099)) +;;;### (autoloads nil "erc-capab" "erc/erc-capab.el" (18307 57864)) ;;; Generated autoloads from erc/erc-capab.el (autoload 'erc-capab-identify-mode "erc-capab" nil t) ;;;*** -;;;### (autoloads nil "erc-compat" "erc/erc-compat.el" (18147 59472)) +;;;### (autoloads nil "erc-compat" "erc/erc-compat.el" (18307 57864)) ;;; Generated autoloads from erc/erc-compat.el (autoload 'erc-define-minor-mode "erc-compat") ;;;*** ;;;### (autoloads (erc-ctcp-query-DCC pcomplete/erc-mode/DCC erc-cmd-DCC) -;;;;;; "erc-dcc" "erc/erc-dcc.el" (18088 55099)) +;;;;;; "erc-dcc" "erc/erc-dcc.el" (18307 57864)) ;;; Generated autoloads from erc/erc-dcc.el (autoload 'erc-cmd-DCC "erc-dcc" "\ @@ -9388,7 +9458,7 @@ that subcommand. ;;;;;; erc-ezb-add-session erc-ezb-end-of-session-list erc-ezb-init-session-list ;;;;;; erc-ezb-identify erc-ezb-notice-autodetect erc-ezb-lookup-action ;;;;;; erc-ezb-get-login erc-cmd-ezb) "erc-ezbounce" "erc/erc-ezbounce.el" -;;;;;; (18088 55099)) +;;;;;; (18307 57864)) ;;; Generated autoloads from erc/erc-ezbounce.el (autoload 'erc-cmd-ezb "erc-ezbounce" "\ @@ -9450,8 +9520,8 @@ Add EZBouncer convenience functions to ERC. ;;;*** -;;;### (autoloads (erc-fill) "erc-fill" "erc/erc-fill.el" (18088 -;;;;;; 55099)) +;;;### (autoloads (erc-fill) "erc-fill" "erc/erc-fill.el" (18307 +;;;;;; 57864)) ;;; Generated autoloads from erc/erc-fill.el (autoload 'erc-fill-mode "erc-fill" nil t) @@ -9463,15 +9533,15 @@ You can put this on `erc-insert-modify-hook' and/or `erc-send-modify-hook'. ;;;*** -;;;### (autoloads nil "erc-hecomplete" "erc/erc-hecomplete.el" (18088 -;;;;;; 55099)) +;;;### (autoloads nil "erc-hecomplete" "erc/erc-hecomplete.el" (18307 +;;;;;; 57864)) ;;; Generated autoloads from erc/erc-hecomplete.el (autoload 'erc-hecomplete-mode "erc-hecomplete" nil t) ;;;*** ;;;### (autoloads (erc-identd-stop erc-identd-start) "erc-identd" -;;;;;; "erc/erc-identd.el" (18147 59472)) +;;;;;; "erc/erc-identd.el" (18307 57864)) ;;; Generated autoloads from erc/erc-identd.el (autoload 'erc-identd-mode "erc-identd") @@ -9493,7 +9563,7 @@ Not documented ;;;*** ;;;### (autoloads (erc-create-imenu-index) "erc-imenu" "erc/erc-imenu.el" -;;;;;; (18088 55099)) +;;;;;; (18307 57864)) ;;; Generated autoloads from erc/erc-imenu.el (autoload 'erc-create-imenu-index "erc-imenu" "\ @@ -9503,14 +9573,14 @@ Not documented ;;;*** -;;;### (autoloads nil "erc-join" "erc/erc-join.el" (18088 55099)) +;;;### (autoloads nil "erc-join" "erc/erc-join.el" (18307 57864)) ;;; Generated autoloads from erc/erc-join.el (autoload 'erc-autojoin-mode "erc-join" nil t) ;;;*** ;;;### (autoloads (erc-save-buffer-in-logs erc-logging-enabled) "erc-log" -;;;;;; "erc/erc-log.el" (18213 13926)) +;;;;;; "erc/erc-log.el" (18307 57864)) ;;; Generated autoloads from erc/erc-log.el (autoload 'erc-log-mode "erc-log" nil t) @@ -9542,7 +9612,7 @@ You can save every individual message by putting this function on ;;;### (autoloads (erc-delete-dangerous-host erc-add-dangerous-host ;;;;;; erc-delete-keyword erc-add-keyword erc-delete-fool erc-add-fool ;;;;;; erc-delete-pal erc-add-pal) "erc-match" "erc/erc-match.el" -;;;;;; (18088 55100)) +;;;;;; (18307 57864)) ;;; Generated autoloads from erc/erc-match.el (autoload 'erc-match-mode "erc-match") @@ -9588,14 +9658,14 @@ Delete dangerous-host interactively to `erc-dangerous-hosts'. ;;;*** -;;;### (autoloads nil "erc-menu" "erc/erc-menu.el" (18088 55100)) +;;;### (autoloads nil "erc-menu" "erc/erc-menu.el" (18307 57864)) ;;; Generated autoloads from erc/erc-menu.el (autoload 'erc-menu-mode "erc-menu" nil t) ;;;*** ;;;### (autoloads (erc-cmd-WHOLEFT) "erc-netsplit" "erc/erc-netsplit.el" -;;;;;; (18088 55100)) +;;;;;; (18307 57864)) ;;; Generated autoloads from erc/erc-netsplit.el (autoload 'erc-netsplit-mode "erc-netsplit") @@ -9607,7 +9677,7 @@ Show who's gone. ;;;*** ;;;### (autoloads (erc-server-select erc-determine-network) "erc-networks" -;;;;;; "erc/erc-networks.el" (18088 55100)) +;;;;;; "erc/erc-networks.el" (18307 57864)) ;;; Generated autoloads from erc/erc-networks.el (autoload 'erc-determine-network "erc-networks" "\ @@ -9625,7 +9695,7 @@ Interactively select a server to connect to using `erc-server-alist'. ;;;*** ;;;### (autoloads (pcomplete/erc-mode/NOTIFY erc-cmd-NOTIFY) "erc-notify" -;;;;;; "erc/erc-notify.el" (18088 55100)) +;;;;;; "erc/erc-notify.el" (18307 57865)) ;;; Generated autoloads from erc/erc-notify.el (autoload 'erc-notify-mode "erc-notify" nil t) @@ -9643,33 +9713,33 @@ Not documented ;;;*** -;;;### (autoloads nil "erc-page" "erc/erc-page.el" (18088 55100)) +;;;### (autoloads nil "erc-page" "erc/erc-page.el" (18307 57865)) ;;; Generated autoloads from erc/erc-page.el (autoload 'erc-page-mode "erc-page") ;;;*** -;;;### (autoloads nil "erc-pcomplete" "erc/erc-pcomplete.el" (18088 -;;;;;; 55100)) +;;;### (autoloads nil "erc-pcomplete" "erc/erc-pcomplete.el" (18307 +;;;;;; 57865)) ;;; Generated autoloads from erc/erc-pcomplete.el (autoload 'erc-completion-mode "erc-pcomplete" nil t) ;;;*** -;;;### (autoloads nil "erc-replace" "erc/erc-replace.el" (18088 55100)) +;;;### (autoloads nil "erc-replace" "erc/erc-replace.el" (18307 57865)) ;;; Generated autoloads from erc/erc-replace.el (autoload 'erc-replace-mode "erc-replace") ;;;*** -;;;### (autoloads nil "erc-ring" "erc/erc-ring.el" (18088 55100)) +;;;### (autoloads nil "erc-ring" "erc/erc-ring.el" (18307 57865)) ;;; Generated autoloads from erc/erc-ring.el (autoload 'erc-ring-mode "erc-ring" nil t) ;;;*** ;;;### (autoloads (erc-nickserv-identify erc-nickserv-identify-mode) -;;;;;; "erc-services" "erc/erc-services.el" (18088 55100)) +;;;;;; "erc-services" "erc/erc-services.el" (18309 39532)) ;;; Generated autoloads from erc/erc-services.el (autoload 'erc-services-mode "erc-services" nil t) @@ -9686,14 +9756,14 @@ When called interactively, read the password using `read-passwd'. ;;;*** -;;;### (autoloads nil "erc-sound" "erc/erc-sound.el" (18161 20995)) +;;;### (autoloads nil "erc-sound" "erc/erc-sound.el" (18307 57865)) ;;; Generated autoloads from erc/erc-sound.el (autoload 'erc-sound-mode "erc-sound") ;;;*** ;;;### (autoloads (erc-speedbar-browser) "erc-speedbar" "erc/erc-speedbar.el" -;;;;;; (18088 55100)) +;;;;;; (18307 57865)) ;;; Generated autoloads from erc/erc-speedbar.el (autoload 'erc-speedbar-browser "erc-speedbar" "\ @@ -9704,21 +9774,21 @@ This will add a speedbar major display mode. ;;;*** -;;;### (autoloads nil "erc-spelling" "erc/erc-spelling.el" (18088 -;;;;;; 55100)) +;;;### (autoloads nil "erc-spelling" "erc/erc-spelling.el" (18307 +;;;;;; 57865)) ;;; Generated autoloads from erc/erc-spelling.el (autoload 'erc-spelling-mode "erc-spelling" nil t) ;;;*** -;;;### (autoloads nil "erc-stamp" "erc/erc-stamp.el" (18214 4763)) +;;;### (autoloads nil "erc-stamp" "erc/erc-stamp.el" (18307 57865)) ;;; Generated autoloads from erc/erc-stamp.el (autoload 'erc-timestamp-mode "erc-stamp" nil t) ;;;*** ;;;### (autoloads (erc-track-minor-mode) "erc-track" "erc/erc-track.el" -;;;;;; (18213 13926)) +;;;;;; (18307 57865)) ;;; Generated autoloads from erc/erc-track.el (defvar erc-track-minor-mode nil "\ @@ -9741,7 +9811,7 @@ module, otherwise the keybindings will not do anything useful. ;;;*** ;;;### (autoloads (erc-truncate-buffer erc-truncate-buffer-to-size) -;;;;;; "erc-truncate" "erc/erc-truncate.el" (18088 55100)) +;;;;;; "erc-truncate" "erc/erc-truncate.el" (18307 57865)) ;;; Generated autoloads from erc/erc-truncate.el (autoload 'erc-truncate-mode "erc-truncate" nil t) @@ -9761,7 +9831,7 @@ Meant to be used in hooks, like `erc-insert-post-hook'. ;;;*** ;;;### (autoloads (erc-xdcc-add-file) "erc-xdcc" "erc/erc-xdcc.el" -;;;;;; (18088 55100)) +;;;;;; (18307 57865)) ;;; Generated autoloads from erc/erc-xdcc.el (autoload 'erc-xdcc-add-file "erc-xdcc" "\ @@ -9771,8 +9841,8 @@ Add a file to `erc-xdcc-files'. ;;;*** -;;;### (autoloads (eshell-mode) "esh-mode" "eshell/esh-mode.el" (18203 -;;;;;; 37788)) +;;;### (autoloads (eshell-mode) "esh-mode" "eshell/esh-mode.el" (18307 +;;;;;; 57865)) ;;; Generated autoloads from eshell/esh-mode.el (autoload 'eshell-mode "esh-mode" "\ @@ -9784,8 +9854,8 @@ Emacs shell interactive mode. ;;;*** -;;;### (autoloads (eshell-test) "esh-test" "eshell/esh-test.el" (18088 -;;;;;; 55101)) +;;;### (autoloads (eshell-test) "esh-test" "eshell/esh-test.el" (18307 +;;;;;; 57865)) ;;; Generated autoloads from eshell/esh-test.el (autoload 'eshell-test "esh-test" "\ @@ -9795,8 +9865,8 @@ Test Eshell to verify that it works as expected. ;;;*** -;;;### (autoloads (eshell-report-bug eshell-command-result eshell-command -;;;;;; eshell) "eshell" "eshell/eshell.el" (18088 55101)) +;;;### (autoloads (eshell-command-result eshell-command eshell) "eshell" +;;;;;; "eshell/eshell.el" (18307 57865)) ;;; Generated autoloads from eshell/eshell.el (autoload 'eshell "eshell" "\ @@ -9826,12 +9896,7 @@ corresponding to a successful execution. \(fn COMMAND &optional STATUS-VAR)" nil nil) -(autoload 'eshell-report-bug "eshell" "\ -Report a bug in Eshell. -Prompts for the TOPIC. Leaves you in a mail buffer. -Please include any configuration details that might be involved. - -\(fn TOPIC)" t nil) +(define-obsolete-function-alias 'eshell-report-bug 'report-emacs-bug "23.1") ;;;*** @@ -9842,7 +9907,7 @@ Please include any configuration details that might be involved. ;;;;;; visit-tags-table tags-table-mode find-tag-default-function ;;;;;; find-tag-hook tags-add-tables tags-compression-info-list ;;;;;; tags-table-list tags-case-fold-search) "etags" "progmodes/etags.el" -;;;;;; (18201 33327)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/etags.el (defvar tags-file-name nil "\ @@ -10144,7 +10209,7 @@ for \\[find-tag] (which see). ;;;;;; ethio-fidel-to-sera-buffer ethio-fidel-to-sera-region ethio-sera-to-fidel-marker ;;;;;; ethio-sera-to-fidel-mail ethio-sera-to-fidel-mail-or-marker ;;;;;; ethio-sera-to-fidel-buffer ethio-sera-to-fidel-region setup-ethiopic-environment-internal) -;;;;;; "ethio-util" "language/ethio-util.el" (18173 8195)) +;;;;;; "ethio-util" "language/ethio-util.el" (18307 57867)) ;;; Generated autoloads from language/ethio-util.el (autoload 'setup-ethiopic-environment-internal "ethio-util" "\ @@ -10339,7 +10404,7 @@ Transcribe Ethiopic characters in ASCII depending on the file extension. ;;;### (autoloads (eudc-load-eudc eudc-query-form eudc-expand-inline ;;;;;; eudc-get-phone eudc-get-email eudc-set-server) "eudc" "net/eudc.el" -;;;;;; (18203 37788)) +;;;;;; (18307 57870)) ;;; Generated autoloads from net/eudc.el (autoload 'eudc-set-server "eudc" "\ @@ -10395,7 +10460,7 @@ This does nothing except loading eudc by autoload side-effect. ;;;### (autoloads (eudc-display-jpeg-as-button eudc-display-jpeg-inline ;;;;;; eudc-display-sound eudc-display-mail eudc-display-url eudc-display-generic-binary) -;;;;;; "eudc-bob" "net/eudc-bob.el" (18203 37788)) +;;;;;; "eudc-bob" "net/eudc-bob.el" (18307 57869)) ;;; Generated autoloads from net/eudc-bob.el (autoload 'eudc-display-generic-binary "eudc-bob" "\ @@ -10431,7 +10496,7 @@ Display a button for the JPEG DATA. ;;;*** ;;;### (autoloads (eudc-try-bbdb-insert eudc-insert-record-at-point-into-bbdb) -;;;;;; "eudc-export" "net/eudc-export.el" (18088 55111)) +;;;;;; "eudc-export" "net/eudc-export.el" (18307 57869)) ;;; Generated autoloads from net/eudc-export.el (autoload 'eudc-insert-record-at-point-into-bbdb "eudc-export" "\ @@ -10448,7 +10513,7 @@ Call `eudc-insert-record-at-point-into-bbdb' if on a record. ;;;*** ;;;### (autoloads (eudc-edit-hotlist) "eudc-hotlist" "net/eudc-hotlist.el" -;;;;;; (18203 37788)) +;;;;;; (18307 57869)) ;;; Generated autoloads from net/eudc-hotlist.el (autoload 'eudc-edit-hotlist "eudc-hotlist" "\ @@ -10458,8 +10523,8 @@ Edit the hotlist of directory servers in a specialized buffer. ;;;*** -;;;### (autoloads (ewoc-create) "ewoc" "emacs-lisp/ewoc.el" (18088 -;;;;;; 55095)) +;;;### (autoloads (ewoc-create) "ewoc" "emacs-lisp/ewoc.el" (18307 +;;;;;; 57861)) ;;; Generated autoloads from emacs-lisp/ewoc.el (autoload 'ewoc-create "ewoc" "\ @@ -10488,7 +10553,7 @@ fourth arg NOSEP non-nil inhibits this. ;;;### (autoloads (executable-make-buffer-file-executable-if-script-p ;;;;;; executable-self-display executable-set-magic executable-interpret ;;;;;; executable-command-find-posix-p) "executable" "progmodes/executable.el" -;;;;;; (18088 55116)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/executable.el (autoload 'executable-command-find-posix-p "executable" "\ @@ -10531,7 +10596,7 @@ file modes. ;;;### (autoloads (expand-jump-to-next-slot expand-jump-to-previous-slot ;;;;;; expand-abbrev-hook expand-add-abbrevs) "expand" "expand.el" -;;;;;; (18088 55083)) +;;;;;; (18307 57855)) ;;; Generated autoloads from expand.el (autoload 'expand-add-abbrevs "expand" "\ @@ -10580,7 +10645,7 @@ This is used only in conjunction with `expand-add-abbrevs'. ;;;*** -;;;### (autoloads (f90-mode) "f90" "progmodes/f90.el" (18212 46008)) +;;;### (autoloads (f90-mode) "f90" "progmodes/f90.el" (18307 57871)) ;;; Generated autoloads from progmodes/f90.el (autoload 'f90-mode "f90" "\ @@ -10647,7 +10712,7 @@ with no args, if that value is non-nil. ;;;;;; facemenu-remove-all facemenu-remove-face-props facemenu-set-read-only ;;;;;; facemenu-set-intangible facemenu-set-invisible facemenu-set-face-from-menu ;;;;;; facemenu-set-background facemenu-set-foreground facemenu-set-face) -;;;;;; "facemenu" "facemenu.el" (18088 55083)) +;;;;;; "facemenu" "facemenu.el" (18307 57855)) ;;; Generated autoloads from facemenu.el (define-key global-map "\M-o" 'facemenu-keymap) (autoload 'facemenu-keymap "facemenu" "Keymap for face-changing commands." t 'keymap) @@ -10816,7 +10881,7 @@ argument BUFFER-NAME is nil, it defaults to *Colors*. ;;;### (autoloads (feedmail-queue-reminder feedmail-run-the-queue ;;;;;; feedmail-run-the-queue-global-prompt feedmail-run-the-queue-no-prompts -;;;;;; feedmail-send-it) "feedmail" "mail/feedmail.el" (18192 17587)) +;;;;;; feedmail-send-it) "feedmail" "mail/feedmail.el" (18290 13665)) ;;; Generated autoloads from mail/feedmail.el (autoload 'feedmail-send-it "feedmail" "\ @@ -10870,7 +10935,7 @@ you can set `feedmail-queue-reminder-alist' to nil. ;;;*** ;;;### (autoloads (ffap-bindings dired-at-point ffap-at-mouse ffap-menu -;;;;;; find-file-at-point ffap-next) "ffap" "ffap.el" (18169 11931)) +;;;;;; find-file-at-point ffap-next) "ffap" "ffap.el" (18308 19808)) ;;; Generated autoloads from ffap.el (autoload 'ffap-next "ffap" "\ @@ -10929,7 +10994,7 @@ Evaluate the forms in variable `ffap-bindings'. ;;;### (autoloads (file-cache-minibuffer-complete file-cache-add-directory-recursively ;;;;;; file-cache-add-directory-using-locate file-cache-add-directory-using-find ;;;;;; file-cache-add-file file-cache-add-directory-list file-cache-add-directory) -;;;;;; "filecache" "filecache.el" (18169 11931)) +;;;;;; "filecache" "filecache.el" (18307 57855)) ;;; Generated autoloads from filecache.el (autoload 'file-cache-add-directory "filecache" "\ @@ -10982,14 +11047,11 @@ the name is considered already unique; only the second substitution \(directories) is done. \(fn ARG)" t nil) - (define-key minibuffer-local-completion-map [C-tab] 'file-cache-minibuffer-complete) - (define-key minibuffer-local-map [C-tab] 'file-cache-minibuffer-complete) - (define-key minibuffer-local-must-match-map [C-tab] 'file-cache-minibuffer-complete) ;;;*** -;;;### (autoloads (filesets-init) "filesets" "filesets.el" (18197 -;;;;;; 21668)) +;;;### (autoloads (filesets-init) "filesets" "filesets.el" (18307 +;;;;;; 57855)) ;;; Generated autoloads from filesets.el (autoload 'filesets-init "filesets" "\ @@ -11000,15 +11062,15 @@ Set up hooks, load the cache file -- if existing -- and build the menu. ;;;*** -;;;### (autoloads nil "fill" "textmodes/fill.el" (18210 22046)) +;;;### (autoloads nil "fill" "textmodes/fill.el" (18307 57873)) ;;; Generated autoloads from textmodes/fill.el (put 'colon-double-space 'safe-local-variable 'booleanp) ;;;*** -;;;### (autoloads (find-grep-dired find-name-dired find-dired find-grep-options -;;;;;; find-ls-subdir-switches find-ls-option) "find-dired" "find-dired.el" -;;;;;; (18088 55084)) +;;;### (autoloads (find-grep-dired find-name-dired find-dired find-name-arg +;;;;;; find-grep-options find-ls-subdir-switches find-ls-option) +;;;;;; "find-dired" "find-dired.el" (18307 57855)) ;;; Generated autoloads from find-dired.el (defvar find-ls-option (if (eq system-type 'berkeley-unix) '("-ls" . "-gilsb") '("-exec ls -ld {} \\;" . "-ld")) "\ @@ -11034,6 +11096,13 @@ On other systems, the closest you can come is to use `-l'.") (custom-autoload 'find-grep-options "find-dired" t) +(defvar find-name-arg (if read-file-name-completion-ignore-case "-iname" "-name") "\ +*Argument used to specify file name pattern. +If `read-file-name-completion-ignore-case' is non-nil, -iname is used so that +find also ignores case. Otherwise, -name is used.") + +(custom-autoload 'find-name-arg "find-dired" t) + (autoload 'find-dired "find-dired" "\ Run `find' and go into Dired mode on a buffer of the output. The command run (after changing into DIR) is @@ -11069,7 +11138,7 @@ Thus ARG can also contain additional grep options. ;;;### (autoloads (ff-mouse-find-other-file-other-window ff-mouse-find-other-file ;;;;;; ff-find-other-file ff-get-other-file) "find-file" "find-file.el" -;;;;;; (18088 55084)) +;;;;;; (18307 57855)) ;;; Generated autoloads from find-file.el (defvar ff-special-constructs '(("^#\\s *\\(include\\|import\\)\\s +[<\"]\\(.*\\)[>\"]" lambda nil (buffer-substring (match-beginning 2) (match-end 2)))) "\ @@ -11163,7 +11232,7 @@ Visit the file you click on in another window. ;;;;;; find-variable find-variable-noselect find-function-other-frame ;;;;;; find-function-other-window find-function find-function-noselect ;;;;;; find-function-search-for-symbol find-library) "find-func" -;;;;;; "emacs-lisp/find-func.el" (18200 51265)) +;;;;;; "emacs-lisp/find-func.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/find-func.el (autoload 'find-library "find-func" "\ @@ -11317,7 +11386,7 @@ Define some key bindings for the find-function family of functions. ;;;*** ;;;### (autoloads (find-lisp-find-dired-filter find-lisp-find-dired-subdirectories -;;;;;; find-lisp-find-dired) "find-lisp" "find-lisp.el" (18169 11931)) +;;;;;; find-lisp-find-dired) "find-lisp" "find-lisp.el" (18307 57855)) ;;; Generated autoloads from find-lisp.el (autoload 'find-lisp-find-dired "find-lisp" "\ @@ -11338,7 +11407,7 @@ Change the filter on a find-lisp-find-dired buffer to REGEXP. ;;;*** ;;;### (autoloads (finder-by-keyword finder-commentary finder-list-keywords) -;;;;;; "finder" "finder.el" (18169 11931)) +;;;;;; "finder" "finder.el" (18307 57855)) ;;; Generated autoloads from finder.el (autoload 'finder-list-keywords "finder" "\ @@ -11360,7 +11429,7 @@ Find packages matching a given keyword. ;;;*** ;;;### (autoloads (enable-flow-control-on enable-flow-control) "flow-ctrl" -;;;;;; "flow-ctrl.el" (18088 55084)) +;;;;;; "flow-ctrl.el" (18307 57855)) ;;; Generated autoloads from flow-ctrl.el (autoload 'enable-flow-control "flow-ctrl" "\ @@ -11382,7 +11451,7 @@ to get the effect of a C-q. ;;;*** ;;;### (autoloads (fill-flowed fill-flowed-encode) "flow-fill" "gnus/flow-fill.el" -;;;;;; (18212 46006)) +;;;;;; (18307 57865)) ;;; Generated autoloads from gnus/flow-fill.el (autoload 'fill-flowed-encode "flow-fill" "\ @@ -11398,7 +11467,7 @@ Not documented ;;;*** ;;;### (autoloads (flymake-mode-off flymake-mode-on flymake-mode) -;;;;;; "flymake" "progmodes/flymake.el" (18120 34752)) +;;;;;; "flymake" "progmodes/flymake.el" (18307 57871)) ;;; Generated autoloads from progmodes/flymake.el (autoload 'flymake-mode "flymake" "\ @@ -11422,7 +11491,7 @@ Turn flymake mode off. ;;;### (autoloads (flyspell-buffer flyspell-region flyspell-mode-off ;;;;;; turn-off-flyspell turn-on-flyspell flyspell-mode flyspell-prog-mode) -;;;;;; "flyspell" "textmodes/flyspell.el" (18187 36841)) +;;;;;; "flyspell" "textmodes/flyspell.el" (18307 57873)) ;;; Generated autoloads from textmodes/flyspell.el (autoload 'flyspell-prog-mode "flyspell" "\ @@ -11492,7 +11561,7 @@ Flyspell whole buffer. ;;;### (autoloads (follow-delete-other-windows-and-split follow-mode ;;;;;; turn-off-follow-mode turn-on-follow-mode) "follow" "follow.el" -;;;;;; (18200 51264)) +;;;;;; (18307 57855)) ;;; Generated autoloads from follow.el (autoload 'turn-on-follow-mode "follow" "\ @@ -11565,8 +11634,8 @@ in your `~/.emacs' file, replacing [f7] by your favourite key: ;;;*** -;;;### (autoloads (footnote-mode) "footnote" "mail/footnote.el" (18088 -;;;;;; 55109)) +;;;### (autoloads (footnote-mode) "footnote" "mail/footnote.el" (18307 +;;;;;; 57868)) ;;; Generated autoloads from mail/footnote.el (autoload 'footnote-mode "footnote" "\ @@ -11587,7 +11656,7 @@ key binding ;;;*** ;;;### (autoloads (forms-find-file-other-window forms-find-file forms-mode) -;;;;;; "forms" "forms.el" (18088 55084)) +;;;;;; "forms" "forms.el" (18307 57855)) ;;; Generated autoloads from forms.el (autoload 'forms-mode "forms" "\ @@ -11624,7 +11693,7 @@ Visit a file in Forms mode in other window. ;;;*** ;;;### (autoloads (fortran-mode) "fortran" "progmodes/fortran.el" -;;;;;; (18212 46008)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/fortran.el (autoload 'fortran-mode "fortran" "\ @@ -11702,7 +11771,7 @@ with no args, if that value is non-nil. ;;;*** ;;;### (autoloads (fortune fortune-to-signature fortune-compile fortune-from-region -;;;;;; fortune-add-fortune) "fortune" "play/fortune.el" (18088 55113)) +;;;;;; fortune-add-fortune) "fortune" "play/fortune.el" (18307 57870)) ;;; Generated autoloads from play/fortune.el (autoload 'fortune-add-fortune "fortune" "\ @@ -11752,7 +11821,7 @@ and choose the directory as the fortune-file. ;;;*** ;;;### (autoloads (gdb-enable-debug gdb) "gdb-ui" "progmodes/gdb-ui.el" -;;;;;; (18197 21675)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/gdb-ui.el (autoload 'gdb "gdb-ui" "\ @@ -11760,7 +11829,6 @@ Run gdb on program FILE in buffer *gud-FILE*. The directory containing FILE becomes the initial working directory and source-file directory for your debugger. - If `gdb-many-windows' is nil (the default value) then gdb just pops up the GUD buffer unless `gdb-show-main' is t. In this case it starts with two windows: one displaying the GUD buffer and the @@ -11823,8 +11891,8 @@ Non-nil means record the process input and output in `gdb-debug-log'.") ;;;*** ;;;### (autoloads (generic-make-keywords-list generic-mode generic-mode-internal -;;;;;; define-generic-mode) "generic" "emacs-lisp/generic.el" (18173 -;;;;;; 8195)) +;;;;;; define-generic-mode) "generic" "emacs-lisp/generic.el" (18307 +;;;;;; 57861)) ;;; Generated autoloads from emacs-lisp/generic.el (defvar generic-mode-list nil "\ @@ -11899,7 +11967,7 @@ regular expression that can be used as an element of ;;;*** ;;;### (autoloads (glasses-mode) "glasses" "progmodes/glasses.el" -;;;;;; (18088 55116)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/glasses.el (autoload 'glasses-mode "glasses" "\ @@ -11913,7 +11981,7 @@ at places they belong to. ;;;### (autoloads (gmm-tool-bar-from-list gmm-widget-p gmm-error ;;;;;; gmm-message gmm-regexp-concat) "gmm-utils" "gnus/gmm-utils.el" -;;;;;; (18212 46006)) +;;;;;; (18307 57865)) ;;; Generated autoloads from gnus/gmm-utils.el (autoload 'gmm-regexp-concat "gmm-utils" "\ @@ -11966,7 +12034,7 @@ DEFAULT-MAP specifies the default key map for ICON-LIST. ;;;*** ;;;### (autoloads (gnus gnus-other-frame gnus-slave gnus-no-server -;;;;;; gnus-slave-no-server) "gnus" "gnus/gnus.el" (18212 46007)) +;;;;;; gnus-slave-no-server) "gnus" "gnus/gnus.el" (18307 57866)) ;;; Generated autoloads from gnus/gnus.el (when (fboundp 'custom-autoload) (custom-autoload 'gnus-select-method "gnus")) @@ -12019,7 +12087,7 @@ prompt the user for the name of an NNTP server to use. ;;;;;; gnus-agent-get-undownloaded-list gnus-agent-delete-group ;;;;;; gnus-agent-rename-group gnus-agent-possibly-save-gcc gnus-agentize ;;;;;; gnus-slave-unplugged gnus-plugged gnus-unplugged) "gnus-agent" -;;;;;; "gnus/gnus-agent.el" (18212 46006)) +;;;;;; "gnus/gnus-agent.el" (18307 57865)) ;;; Generated autoloads from gnus/gnus-agent.el (autoload 'gnus-unplugged "gnus-agent" "\ @@ -12110,7 +12178,7 @@ If CLEAN, obsolete (ignore). ;;;*** ;;;### (autoloads (gnus-article-prepare-display) "gnus-art" "gnus/gnus-art.el" -;;;;;; (18212 46006)) +;;;;;; (18310 28916)) ;;; Generated autoloads from gnus/gnus-art.el (autoload 'gnus-article-prepare-display "gnus-art" "\ @@ -12121,7 +12189,7 @@ Make the current buffer look like a nice article. ;;;*** ;;;### (autoloads (gnus-audio-play) "gnus-audio" "gnus/gnus-audio.el" -;;;;;; (18088 55102)) +;;;;;; (18307 57865)) ;;; Generated autoloads from gnus/gnus-audio.el (autoload 'gnus-audio-play "gnus-audio" "\ @@ -12132,7 +12200,7 @@ Play a sound FILE through the speaker. ;;;*** ;;;### (autoloads (gnus-bookmark-bmenu-list gnus-bookmark-jump gnus-bookmark-set) -;;;;;; "gnus-bookmark" "gnus/gnus-bookmark.el" (18212 21481)) +;;;;;; "gnus-bookmark" "gnus/gnus-bookmark.el" (18308 19808)) ;;; Generated autoloads from gnus/gnus-bookmark.el (autoload 'gnus-bookmark-set "gnus-bookmark" "\ @@ -12157,8 +12225,8 @@ deletion, or > if it is flagged for displaying. ;;;### (autoloads (gnus-cache-delete-group gnus-cache-rename-group ;;;;;; gnus-cache-generate-nov-databases gnus-cache-generate-active -;;;;;; gnus-jog-cache) "gnus-cache" "gnus/gnus-cache.el" (18212 -;;;;;; 46006)) +;;;;;; gnus-jog-cache) "gnus-cache" "gnus/gnus-cache.el" (18307 +;;;;;; 57865)) ;;; Generated autoloads from gnus/gnus-cache.el (autoload 'gnus-jog-cache "gnus-cache" "\ @@ -12200,7 +12268,7 @@ supported. ;;;*** ;;;### (autoloads (gnus-delay-initialize gnus-delay-send-queue gnus-delay-article) -;;;;;; "gnus-delay" "gnus/gnus-delay.el" (18212 46006)) +;;;;;; "gnus-delay" "gnus/gnus-delay.el" (18307 57865)) ;;; Generated autoloads from gnus/gnus-delay.el (autoload 'gnus-delay-article "gnus-delay" "\ @@ -12236,7 +12304,7 @@ Checking delayed messages is skipped if optional arg NO-CHECK is non-nil. ;;;*** ;;;### (autoloads (gnus-user-format-function-D gnus-user-format-function-d) -;;;;;; "gnus-diary" "gnus/gnus-diary.el" (18212 46006)) +;;;;;; "gnus-diary" "gnus/gnus-diary.el" (18307 57865)) ;;; Generated autoloads from gnus/gnus-diary.el (autoload 'gnus-user-format-function-d "gnus-diary" "\ @@ -12252,18 +12320,18 @@ Not documented ;;;*** ;;;### (autoloads (turn-on-gnus-dired-mode) "gnus-dired" "gnus/gnus-dired.el" -;;;;;; (18212 46006)) +;;;;;; (18307 57865)) ;;; Generated autoloads from gnus/gnus-dired.el (autoload 'turn-on-gnus-dired-mode "gnus-dired" "\ Convenience method to turn on gnus-dired-mode. -\(fn)" nil nil) +\(fn)" t nil) ;;;*** ;;;### (autoloads (gnus-draft-reminder) "gnus-draft" "gnus/gnus-draft.el" -;;;;;; (18212 46006)) +;;;;;; (18307 57865)) ;;; Generated autoloads from gnus/gnus-draft.el (autoload 'gnus-draft-reminder "gnus-draft" "\ @@ -12275,8 +12343,8 @@ Reminder user if there are unsent drafts. ;;;### (autoloads (gnus-convert-png-to-face gnus-convert-face-to-png ;;;;;; gnus-face-from-file gnus-x-face-from-file gnus-insert-random-x-face-header -;;;;;; gnus-random-x-face) "gnus-fun" "gnus/gnus-fun.el" (18212 -;;;;;; 46006)) +;;;;;; gnus-random-x-face) "gnus-fun" "gnus/gnus-fun.el" (18307 +;;;;;; 57865)) ;;; Generated autoloads from gnus/gnus-fun.el (autoload 'gnus-random-x-face "gnus-fun" "\ @@ -12321,7 +12389,7 @@ FILE should be a PNG file that's 48x48 and smaller than or equal to ;;;*** ;;;### (autoloads (gnus-fetch-group-other-frame gnus-fetch-group) -;;;;;; "gnus-group" "gnus/gnus-group.el" (18212 46006)) +;;;;;; "gnus-group" "gnus/gnus-group.el" (18310 28916)) ;;; Generated autoloads from gnus/gnus-group.el (autoload 'gnus-fetch-group "gnus-group" "\ @@ -12339,7 +12407,7 @@ Pop up a frame and enter GROUP. ;;;*** ;;;### (autoloads (gnus-batch-score) "gnus-kill" "gnus/gnus-kill.el" -;;;;;; (18212 46006)) +;;;;;; (18307 57865)) ;;; Generated autoloads from gnus/gnus-kill.el (defalias 'gnus-batch-kill 'gnus-batch-score) @@ -12354,7 +12422,7 @@ Usage: emacs -batch -l ~/.emacs -l gnus -f gnus-batch-score ;;;### (autoloads (gnus-mailing-list-mode gnus-mailing-list-insinuate ;;;;;; turn-on-gnus-mailing-list-mode) "gnus-ml" "gnus/gnus-ml.el" -;;;;;; (18212 46006)) +;;;;;; (18307 57865)) ;;; Generated autoloads from gnus/gnus-ml.el (autoload 'turn-on-gnus-mailing-list-mode "gnus-ml" "\ @@ -12379,7 +12447,7 @@ Minor mode for providing mailing-list commands. ;;;### (autoloads (gnus-group-split-fancy gnus-group-split gnus-group-split-update ;;;;;; gnus-group-split-setup) "gnus-mlspl" "gnus/gnus-mlspl.el" -;;;;;; (18212 46006)) +;;;;;; (18307 57865)) ;;; Generated autoloads from gnus/gnus-mlspl.el (autoload 'gnus-group-split-setup "gnus-mlspl" "\ @@ -12480,7 +12548,7 @@ Calling (gnus-group-split-fancy nil nil \"mail.others\") returns: ;;;*** ;;;### (autoloads (gnus-change-server) "gnus-move" "gnus/gnus-move.el" -;;;;;; (18212 46006)) +;;;;;; (18307 57865)) ;;; Generated autoloads from gnus/gnus-move.el (autoload 'gnus-change-server "gnus-move" "\ @@ -12492,7 +12560,7 @@ Update the .newsrc.eld file to reflect the change of nntp server. ;;;*** ;;;### (autoloads (gnus-button-reply gnus-button-mailto gnus-msg-mail) -;;;;;; "gnus-msg" "gnus/gnus-msg.el" (18212 46006)) +;;;;;; "gnus-msg" "gnus/gnus-msg.el" (18307 57865)) ;;; Generated autoloads from gnus/gnus-msg.el (autoload 'gnus-msg-mail "gnus-msg" "\ @@ -12517,7 +12585,7 @@ Like `message-reply'. ;;;*** ;;;### (autoloads (gnus-nocem-load-cache gnus-nocem-scan-groups) -;;;;;; "gnus-nocem" "gnus/gnus-nocem.el" (18212 46006)) +;;;;;; "gnus-nocem" "gnus/gnus-nocem.el" (18307 57865)) ;;; Generated autoloads from gnus/gnus-nocem.el (autoload 'gnus-nocem-scan-groups "gnus-nocem" "\ @@ -12534,7 +12602,7 @@ Load the NoCeM cache. ;;;### (autoloads (gnus-treat-newsgroups-picon gnus-treat-mail-picon ;;;;;; gnus-treat-from-picon) "gnus-picon" "gnus/gnus-picon.el" -;;;;;; (18212 46006)) +;;;;;; (18307 57865)) ;;; Generated autoloads from gnus/gnus-picon.el (autoload 'gnus-treat-from-picon "gnus-picon" "\ @@ -12561,7 +12629,7 @@ If picons are already displayed, remove them. ;;;;;; gnus-sorted-nintersection gnus-sorted-range-intersection ;;;;;; gnus-sorted-intersection gnus-intersection gnus-sorted-complement ;;;;;; gnus-sorted-ndifference gnus-sorted-difference) "gnus-range" -;;;;;; "gnus/gnus-range.el" (18212 46006)) +;;;;;; "gnus/gnus-range.el" (18307 57865)) ;;; Generated autoloads from gnus/gnus-range.el (autoload 'gnus-sorted-difference "gnus-range" "\ @@ -12629,7 +12697,7 @@ Add NUM into sorted LIST by side effect. ;;;*** ;;;### (autoloads (gnus-registry-install-hooks gnus-registry-initialize) -;;;;;; "gnus-registry" "gnus/gnus-registry.el" (18212 46006)) +;;;;;; "gnus-registry" "gnus/gnus-registry.el" (18307 57865)) ;;; Generated autoloads from gnus/gnus-registry.el (autoload 'gnus-registry-initialize "gnus-registry" "\ @@ -12645,8 +12713,8 @@ Install the registry hooks. ;;;*** ;;;### (autoloads (gnus-sieve-article-add-rule gnus-sieve-generate -;;;;;; gnus-sieve-update) "gnus-sieve" "gnus/gnus-sieve.el" (18088 -;;;;;; 55103)) +;;;;;; gnus-sieve-update) "gnus-sieve" "gnus/gnus-sieve.el" (18307 +;;;;;; 57866)) ;;; Generated autoloads from gnus/gnus-sieve.el (autoload 'gnus-sieve-update "gnus-sieve" "\ @@ -12674,7 +12742,7 @@ Not documented ;;;*** ;;;### (autoloads (gnus-batch-brew-soup) "gnus-soup" "gnus/gnus-soup.el" -;;;;;; (18212 46006)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/gnus-soup.el (autoload 'gnus-batch-brew-soup "gnus-soup" "\ @@ -12694,7 +12762,7 @@ Note -- this function hasn't been implemented yet. ;;;*** ;;;### (autoloads (gnus-update-format) "gnus-spec" "gnus/gnus-spec.el" -;;;;;; (18212 46006)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/gnus-spec.el (autoload 'gnus-update-format "gnus-spec" "\ @@ -12705,8 +12773,8 @@ Update the format specification near point. ;;;*** ;;;### (autoloads (gnus-fixup-nnimap-unread-after-getting-new-news -;;;;;; gnus-declare-backend) "gnus-start" "gnus/gnus-start.el" (18212 -;;;;;; 46006)) +;;;;;; gnus-declare-backend) "gnus-start" "gnus/gnus-start.el" (18307 +;;;;;; 57866)) ;;; Generated autoloads from gnus/gnus-start.el (autoload 'gnus-declare-backend "gnus-start" "\ @@ -12722,7 +12790,7 @@ Not documented ;;;*** ;;;### (autoloads (gnus-add-configuration) "gnus-win" "gnus/gnus-win.el" -;;;;;; (18212 46007)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/gnus-win.el (autoload 'gnus-add-configuration "gnus-win" "\ @@ -12732,7 +12800,7 @@ Add the window configuration CONF to `gnus-buffer-configuration'. ;;;*** -;;;### (autoloads (gomoku) "gomoku" "play/gomoku.el" (18088 55114)) +;;;### (autoloads (gomoku) "gomoku" "play/gomoku.el" (18307 57870)) ;;; Generated autoloads from play/gomoku.el (autoload 'gomoku "gomoku" "\ @@ -12759,7 +12827,7 @@ Use \\[describe-mode] for more info. ;;;*** ;;;### (autoloads (goto-address goto-address-at-point) "goto-addr" -;;;;;; "net/goto-addr.el" (18088 55111)) +;;;;;; "net/goto-addr.el" (18307 57870)) ;;; Generated autoloads from net/goto-addr.el (define-obsolete-function-alias 'goto-address-at-mouse 'goto-address-at-point "22.1") @@ -12789,7 +12857,7 @@ Also fontifies the buffer appropriately (see `goto-address-fontify-p' and ;;;### (autoloads (rgrep lgrep grep-find grep grep-mode grep-compute-defaults ;;;;;; grep-process-setup grep-setup-hook grep-find-command grep-command -;;;;;; grep-window-height) "grep" "progmodes/grep.el" (18135 53075)) +;;;;;; grep-window-height) "grep" "progmodes/grep.el" (18301 42252)) ;;; Generated autoloads from progmodes/grep.el (defvar grep-window-height nil "\ @@ -12831,6 +12899,11 @@ This variable's value takes effect when `grep-compute-defaults' is called.") The default find program for `grep-find-command'. This variable's value takes effect when `grep-compute-defaults' is called.") +(defvar xargs-program "xargs" "\ +The default xargs program for `grep-find-command'. +See `grep-find-use-xargs'. +This variable's value takes effect when `grep-compute-defaults' is called.") + (defvar grep-find-use-xargs nil "\ Non-nil means that `grep-find' uses the `xargs' utility by default. If `exec', use `find -exec'. @@ -12862,19 +12935,19 @@ Sets `grep-last-buffer' and `compilation-window-height'. (autoload 'grep "grep" "\ Run grep, with user-specified args, and collect output in a buffer. While grep runs asynchronously, you can use \\[next-error] (M-x next-error), -or \\<grep-mode-map>\\[compile-goto-error] in the grep output buffer, to go to the lines -where grep found matches. +or \\<grep-mode-map>\\[compile-goto-error] in the grep output buffer, to go to the lines where grep +found matches. For doing a recursive `grep', see the `rgrep' command. For running `grep' in a specific directory, see `lgrep'. -This command uses a special history list for its COMMAND-ARGS, so you can -easily repeat a grep command. +This command uses a special history list for its COMMAND-ARGS, so you +can easily repeat a grep command. A prefix argument says to default the argument based upon the current tag the cursor is over, substituting it into the last grep command -in the grep command history (or into `grep-command' -if that history list is empty). +in the grep command history (or into `grep-command' if that history +list is empty). \(fn COMMAND-ARGS)" t nil) @@ -12902,8 +12975,8 @@ before it is executed. With two \\[universal-argument] prefixes, directly edit and run `grep-command'. Collect output in a buffer. While grep runs asynchronously, you -can use \\[next-error] (M-x next-error), or \\<grep-mode-map>\\[compile-goto-error] -in the grep output buffer, to go to the lines where grep found matches. +can use \\[next-error] (M-x next-error), or \\<grep-mode-map>\\[compile-goto-error] in the grep output buffer, +to go to the lines where grep found matches. This command shares argument histories with \\[rgrep] and \\[grep]. @@ -12920,8 +12993,8 @@ before it is executed. With two \\[universal-argument] prefixes, directly edit and run `grep-find-command'. Collect output in a buffer. While find runs asynchronously, you -can use \\[next-error] (M-x next-error), or \\<grep-mode-map>\\[compile-goto-error] -in the grep output buffer, to go to the lines where grep found matches. +can use \\[next-error] (M-x next-error), or \\<grep-mode-map>\\[compile-goto-error] in the grep output buffer, +to go to the lines where grep found matches. This command shares argument histories with \\[lgrep] and \\[grep-find]. @@ -12929,7 +13002,7 @@ This command shares argument histories with \\[lgrep] and \\[grep-find]. ;;;*** -;;;### (autoloads (gs-load-image) "gs" "gs.el" (18088 55084)) +;;;### (autoloads (gs-load-image) "gs" "gs.el" (18307 57855)) ;;; Generated autoloads from gs.el (autoload 'gs-load-image "gs" "\ @@ -12943,22 +13016,13 @@ the form \"WINDOW-ID PIXMAP-ID\". Value is non-nil if successful. ;;;*** ;;;### (autoloads (gdb-script-mode jdb pdb perldb xdb dbx sdb gud-gdb) -;;;;;; "gud" "progmodes/gud.el" (18214 4763)) +;;;;;; "gud" "progmodes/gud.el" (18307 57871)) ;;; Generated autoloads from progmodes/gud.el (autoload 'gud-gdb "gud" "\ Run gdb on program FILE in buffer *gud-FILE*. The directory containing FILE becomes the initial working -directory and source-file directory for your debugger. By -default this command starts GDB using a graphical interface. See -`gdba' for more information. - -To run GDB in text command mode, replace the GDB \"--annotate=3\" -option with \"--fullname\" either in the minibuffer for the -current Emacs session, or the custom variable -`gud-gdb-command-name' for all future sessions. You need to use -text command mode to debug multiple programs within one Emacs -session. +directory and source-file directory for your debugger. \(fn COMMAND-LINE)" t nil) @@ -13026,8 +13090,8 @@ Major mode for editing GDB scripts ;;;*** -;;;### (autoloads (handwrite) "handwrite" "play/handwrite.el" (18202 -;;;;;; 4002)) +;;;### (autoloads (handwrite) "handwrite" "play/handwrite.el" (18307 +;;;;;; 57870)) ;;; Generated autoloads from play/handwrite.el (autoload 'handwrite "handwrite" "\ @@ -13074,8 +13138,8 @@ to be updated. ;;;### (autoloads (mail-check-payment mail-add-payment-async mail-add-payment ;;;;;; hashcash-verify-payment hashcash-insert-payment-async hashcash-insert-payment) -;;;;;; "hashcash" "gnus/hashcash.el" (18212 21477)) -;;; Generated autoloads from gnus/hashcash.el +;;;;;; "hashcash" "mail/hashcash.el" (18308 19808)) +;;; Generated autoloads from mail/hashcash.el (autoload 'hashcash-insert-payment "hashcash" "\ Insert X-Payment and X-Hashcash headers with a payment for ARG @@ -13119,7 +13183,7 @@ Prefix arg sets default accept amount temporarily. ;;;### (autoloads (scan-buf-previous-region scan-buf-next-region ;;;;;; scan-buf-move-to-region help-at-pt-display-when-idle help-at-pt-set-timer ;;;;;; help-at-pt-cancel-timer display-local-help help-at-pt-kbd-string -;;;;;; help-at-pt-string) "help-at-pt" "help-at-pt.el" (18088 55084)) +;;;;;; help-at-pt-string) "help-at-pt" "help-at-pt.el" (18307 57855)) ;;; Generated autoloads from help-at-pt.el (autoload 'help-at-pt-string "help-at-pt" "\ @@ -13249,7 +13313,7 @@ different regions. With numeric argument ARG, behaves like ;;;### (autoloads (describe-categories describe-syntax describe-variable ;;;;;; variable-at-point describe-function-1 describe-simplify-lib-file-name ;;;;;; help-C-file-name describe-function) "help-fns" "help-fns.el" -;;;;;; (18201 33325)) +;;;;;; (18307 57855)) ;;; Generated autoloads from help-fns.el (autoload 'describe-function "help-fns" "\ @@ -13307,7 +13371,7 @@ BUFFER should be a buffer or a buffer name. ;;;*** ;;;### (autoloads (three-step-help) "help-macro" "help-macro.el" -;;;;;; (18088 55084)) +;;;;;; (18307 57855)) ;;; Generated autoloads from help-macro.el (defvar three-step-help nil "\ @@ -13322,8 +13386,9 @@ A value of nil means skip the middle step, so that ;;;*** ;;;### (autoloads (help-xref-on-pp help-insert-xref-button help-xref-button -;;;;;; help-make-xrefs help-setup-xref help-mode-finish help-mode-setup -;;;;;; help-mode) "help-mode" "help-mode.el" (18120 34749)) +;;;;;; help-make-xrefs help-buffer help-setup-xref help-mode-finish +;;;;;; help-mode-setup help-mode) "help-mode" "help-mode.el" (18307 +;;;;;; 57856)) ;;; Generated autoloads from help-mode.el (autoload 'help-mode "help-mode" "\ @@ -13358,6 +13423,11 @@ restore it properly when going back. \(fn ITEM INTERACTIVE-P)" nil nil) +(autoload 'help-buffer "help-mode" "\ +Not documented + +\(fn)" nil nil) + (autoload 'help-make-xrefs "help-mode" "\ Parse and hyperlink documentation cross-references in the given BUFFER. @@ -13406,7 +13476,7 @@ Add xrefs for symbols in `pp's output between FROM and TO. ;;;*** ;;;### (autoloads (Helper-help Helper-describe-bindings) "helper" -;;;;;; "emacs-lisp/helper.el" (18088 55096)) +;;;;;; "emacs-lisp/helper.el" (18302 4831)) ;;; Generated autoloads from emacs-lisp/helper.el (autoload 'Helper-describe-bindings "helper" "\ @@ -13422,7 +13492,7 @@ Provide help for current mode. ;;;*** ;;;### (autoloads (hexlify-buffer hexl-find-file hexl-mode) "hexl" -;;;;;; "hexl.el" (18211 32385)) +;;;;;; "hexl.el" (18307 57856)) ;;; Generated autoloads from hexl.el (autoload 'hexl-mode "hexl" "\ @@ -13519,7 +13589,7 @@ This discards the buffer's undo information. ;;;### (autoloads (hi-lock-write-interactive-patterns hi-lock-unface-buffer ;;;;;; hi-lock-face-phrase-buffer hi-lock-face-buffer hi-lock-line-face-buffer ;;;;;; global-hi-lock-mode hi-lock-mode) "hi-lock" "hi-lock.el" -;;;;;; (18169 11931)) +;;;;;; (18307 57856)) ;;; Generated autoloads from hi-lock.el (autoload 'hi-lock-mode "hi-lock" "\ @@ -13649,7 +13719,7 @@ be found in variable `hi-lock-interactive-patterns'. ;;;*** ;;;### (autoloads (hide-ifdef-lines hide-ifdef-read-only hide-ifdef-initially -;;;;;; hide-ifdef-mode) "hideif" "progmodes/hideif.el" (18088 55116)) +;;;;;; hide-ifdef-mode) "hideif" "progmodes/hideif.el" (18307 57871)) ;;; Generated autoloads from progmodes/hideif.el (autoload 'hide-ifdef-mode "hideif" "\ @@ -13704,7 +13774,7 @@ how the hiding is done: ;;;*** ;;;### (autoloads (turn-off-hideshow hs-minor-mode) "hideshow" "progmodes/hideshow.el" -;;;;;; (18128 32656)) +;;;;;; (18302 4831)) ;;; Generated autoloads from progmodes/hideshow.el (defvar hs-special-modes-alist '((c-mode "{" "}" "/[*/]" nil hs-c-like-adjust-block-beginning) (c++-mode "{" "}" "/[*/]" nil hs-c-like-adjust-block-beginning) (bibtex-mode ("^@\\S(*\\(\\s(\\)" 1)) (java-mode "{" "}" "/[*/]" nil hs-c-like-adjust-block-beginning)) "\ @@ -13767,7 +13837,7 @@ Unconditionally turn off `hs-minor-mode'. ;;;;;; highlight-compare-buffers highlight-changes-rotate-faces ;;;;;; highlight-changes-previous-change highlight-changes-next-change ;;;;;; highlight-changes-mode highlight-changes-remove-highlight) -;;;;;; "hilit-chg" "hilit-chg.el" (18120 34749)) +;;;;;; "hilit-chg" "hilit-chg.el" (18307 57856)) ;;; Generated autoloads from hilit-chg.el (autoload 'highlight-changes-remove-highlight "hilit-chg" "\ @@ -13897,7 +13967,7 @@ variable `highlight-changes-global-changes-existing-buffers' is non-nil). ;;;;;; hippie-expand-ignore-buffers hippie-expand-max-buffers hippie-expand-no-restriction ;;;;;; hippie-expand-dabbrev-as-symbol hippie-expand-dabbrev-skip-space ;;;;;; hippie-expand-verbose hippie-expand-try-functions-list) "hippie-exp" -;;;;;; "hippie-exp.el" (18088 55085)) +;;;;;; "hippie-exp.el" (18307 57856)) ;;; Generated autoloads from hippie-exp.el (defvar hippie-expand-try-functions-list '(try-complete-file-name-partially try-complete-file-name try-expand-all-abbrevs try-expand-list try-expand-line try-expand-dabbrev try-expand-dabbrev-all-buffers try-expand-dabbrev-from-kill try-complete-lisp-symbol-partially try-complete-lisp-symbol) "\ @@ -13970,7 +14040,7 @@ argument VERBOSE non-nil makes the function verbose. ;;;*** ;;;### (autoloads (global-hl-line-mode hl-line-mode) "hl-line" "hl-line.el" -;;;;;; (18088 55085)) +;;;;;; (18307 57856)) ;;; Generated autoloads from hl-line.el (autoload 'hl-line-mode "hl-line" "\ @@ -14011,7 +14081,7 @@ Global-Hl-Line mode uses the functions `global-hl-line-unhighlight' and ;;;*** ;;;### (autoloads (list-holidays holidays) "holidays" "calendar/holidays.el" -;;;;;; (18157 34340)) +;;;;;; (18307 57860)) ;;; Generated autoloads from calendar/holidays.el (autoload 'holidays "holidays" "\ @@ -14045,10 +14115,12 @@ The optional LABEL is used to label the buffer created. \(fn Y1 Y2 &optional L LABEL)" t nil) +(defalias 'holiday-list 'list-holidays) + ;;;*** -;;;### (autoloads (html2text) "html2text" "gnus/html2text.el" (18212 -;;;;;; 46007)) +;;;### (autoloads (html2text) "html2text" "gnus/html2text.el" (18307 +;;;;;; 57866)) ;;; Generated autoloads from gnus/html2text.el (autoload 'html2text "html2text" "\ @@ -14080,7 +14152,7 @@ Convert HTML to plain text in the current buffer. ;;;;;; ibuffer-backward-filter-group ibuffer-forward-filter-group ;;;;;; ibuffer-toggle-filter-group ibuffer-mouse-toggle-filter-group ;;;;;; ibuffer-interactive-filter-by-mode ibuffer-mouse-filter-by-mode -;;;;;; ibuffer-auto-mode) "ibuf-ext" "ibuf-ext.el" (18208 48750)) +;;;;;; ibuffer-auto-mode) "ibuf-ext" "ibuf-ext.el" (18307 57856)) ;;; Generated autoloads from ibuf-ext.el (autoload 'ibuffer-auto-mode "ibuf-ext" "\ @@ -14442,8 +14514,8 @@ defaults to one. ;;;*** ;;;### (autoloads (define-ibuffer-filter define-ibuffer-op define-ibuffer-sorter -;;;;;; define-ibuffer-column) "ibuf-macs" "ibuf-macs.el" (18088 -;;;;;; 55085)) +;;;;;; define-ibuffer-column) "ibuf-macs" "ibuf-macs.el" (18307 +;;;;;; 57856)) ;;; Generated autoloads from ibuf-macs.el (autoload 'define-ibuffer-column "ibuf-macs" "\ @@ -14532,7 +14604,7 @@ bound to the current value of the filter. ;;;*** ;;;### (autoloads (ibuffer ibuffer-other-window ibuffer-list-buffers) -;;;;;; "ibuffer" "ibuffer.el" (18120 34749)) +;;;;;; "ibuffer" "ibuffer.el" (18307 57856)) ;;; Generated autoloads from ibuffer.el (autoload 'ibuffer-list-buffers "ibuffer" "\ @@ -14573,7 +14645,7 @@ FORMATS is the value to use for `ibuffer-formats'. ;;;### (autoloads (icalendar-import-buffer icalendar-import-file ;;;;;; icalendar-export-region icalendar-export-file) "icalendar" -;;;;;; "calendar/icalendar.el" (18173 8195)) +;;;;;; "calendar/icalendar.el" (18317 7567)) ;;; Generated autoloads from calendar/icalendar.el (autoload 'icalendar-export-file "icalendar" "\ @@ -14625,8 +14697,8 @@ buffer `*icalendar-errors*'. ;;;*** -;;;### (autoloads (icomplete-mode) "icomplete" "icomplete.el" (18120 -;;;;;; 34749)) +;;;### (autoloads (icomplete-mode) "icomplete" "icomplete.el" (18307 +;;;;;; 57856)) ;;; Generated autoloads from icomplete.el (defvar icomplete-mode nil "\ @@ -14647,7 +14719,7 @@ otherwise turn it off. ;;;*** -;;;### (autoloads (icon-mode) "icon" "progmodes/icon.el" (18088 55116)) +;;;### (autoloads (icon-mode) "icon" "progmodes/icon.el" (18307 57871)) ;;; Generated autoloads from progmodes/icon.el (autoload 'icon-mode "icon" "\ @@ -14688,7 +14760,7 @@ with no args, if that value is non-nil. ;;;*** ;;;### (autoloads (idlwave-shell) "idlw-shell" "progmodes/idlw-shell.el" -;;;;;; (18201 33329)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/idlw-shell.el (autoload 'idlwave-shell "idlw-shell" "\ @@ -14714,7 +14786,7 @@ See also the variable `idlwave-shell-prompt-pattern'. ;;;*** ;;;### (autoloads (idlwave-mode) "idlwave" "progmodes/idlwave.el" -;;;;;; (18197 21676)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/idlwave.el (autoload 'idlwave-mode "idlwave" "\ @@ -14849,8 +14921,8 @@ The main features of this mode are ;;;;;; ido-find-alternate-file ido-find-file-other-window ido-find-file ;;;;;; ido-find-file-in-dir ido-switch-buffer-other-frame ido-insert-buffer ;;;;;; ido-kill-buffer ido-display-buffer ido-switch-buffer-other-window -;;;;;; ido-switch-buffer ido-mode ido-mode) "ido" "ido.el" (18169 -;;;;;; 11931)) +;;;;;; ido-switch-buffer ido-mode ido-mode) "ido" "ido.el" (18302 +;;;;;; 4831)) ;;; Generated autoloads from ido.el (defvar ido-mode nil "\ @@ -15111,7 +15183,7 @@ DEF, if non-nil, is the default value. ;;;*** -;;;### (autoloads (ielm) "ielm" "ielm.el" (18214 4479)) +;;;### (autoloads (ielm) "ielm" "ielm.el" (18307 57856)) ;;; Generated autoloads from ielm.el (add-hook 'same-window-buffer-names "*ielm*") @@ -15124,7 +15196,7 @@ Switches to the buffer `*ielm*', or creates it if it does not exist. ;;;*** ;;;### (autoloads (iimage-mode turn-on-iimage-mode) "iimage" "iimage.el" -;;;;;; (18088 55085)) +;;;;;; (18307 57856)) ;;; Generated autoloads from iimage.el (autoload 'turn-on-iimage-mode "iimage" "\ @@ -15143,7 +15215,7 @@ Toggle inline image minor mode. ;;;;;; insert-image put-image create-image image-type-auto-detected-p ;;;;;; image-type-available-p image-type image-type-from-file-name ;;;;;; image-type-from-file-header image-type-from-buffer image-type-from-data) -;;;;;; "image" "image.el" (18186 14736)) +;;;;;; "image" "image.el" (18307 57856)) ;;; Generated autoloads from image.el (autoload 'image-type-from-data "image" "\ @@ -15326,7 +15398,7 @@ Example: ;;;;;; image-dired-jump-thumbnail-buffer image-dired-delete-tag ;;;;;; image-dired-tag-files image-dired-show-all-from-dir image-dired-display-thumbs ;;;;;; image-dired-dired-with-window-configuration image-dired-dired-insert-marked-thumbs) -;;;;;; "image-dired" "image-dired.el" (18202 3995)) +;;;;;; "image-dired" "image-dired.el" (18307 57856)) ;;; Generated autoloads from image-dired.el (autoload 'image-dired-dired-insert-marked-thumbs "image-dired" "\ @@ -15460,7 +15532,7 @@ easy-to-use form. ;;;### (autoloads (auto-image-file-mode insert-image-file image-file-name-regexp ;;;;;; image-file-name-regexps image-file-name-extensions) "image-file" -;;;;;; "image-file.el" (18123 58387)) +;;;;;; "image-file.el" (18307 57856)) ;;; Generated autoloads from image-file.el (defvar image-file-name-extensions '("png" "jpeg" "jpg" "gif" "tiff" "tif" "xbm" "xpm" "pbm" "pgm" "ppm" "pnm" "svg") "\ @@ -15521,8 +15593,8 @@ Image files are those whose name has an extension in ;;;*** -;;;### (autoloads (image-mode-maybe image-minor-mode image-mode) -;;;;;; "image-mode" "image-mode.el" (18132 64031)) +;;;### (autoloads (image-bookmark-jump image-mode-maybe image-minor-mode +;;;;;; image-mode) "image-mode" "image-mode.el" (18301 42251)) ;;; Generated autoloads from image-mode.el (push '("\\.jpe?g\\'" . image-mode) auto-mode-alist) (push '("\\.png\\'" . image-mode) auto-mode-alist) @@ -15560,10 +15632,15 @@ information on these modes. \(fn)" t nil) +(autoload 'image-bookmark-jump "image-mode" "\ +Not documented + +\(fn BMK)" nil nil) + ;;;*** ;;;### (autoloads (imenu imenu-add-menubar-index imenu-add-to-menubar -;;;;;; imenu-sort-function) "imenu" "imenu.el" (18120 34749)) +;;;;;; imenu-sort-function) "imenu" "imenu.el" (18302 4831)) ;;; Generated autoloads from imenu.el (defvar imenu-sort-function nil "\ @@ -15678,7 +15755,7 @@ for more information. ;;;### (autoloads (indian-char-glyph indian-glyph-char in-is13194-pre-write-conversion ;;;;;; in-is13194-post-read-conversion indian-compose-string indian-compose-region) -;;;;;; "ind-util" "language/ind-util.el" (18088 55109)) +;;;;;; "ind-util" "language/ind-util.el" (18307 57867)) ;;; Generated autoloads from language/ind-util.el (autoload 'indian-compose-region "ind-util" "\ @@ -15721,7 +15798,7 @@ See also the function `indian-glyph-char'. ;;;### (autoloads (inferior-lisp inferior-lisp-prompt inferior-lisp-load-command ;;;;;; inferior-lisp-program inferior-lisp-filter-regexp) "inf-lisp" -;;;;;; "progmodes/inf-lisp.el" (18088 55117)) +;;;;;; "progmodes/inf-lisp.el" (18307 57871)) ;;; Generated autoloads from progmodes/inf-lisp.el (defvar inferior-lisp-filter-regexp "\\`\\s *\\(:\\(\\w\\|\\s_\\)\\)?\\s *\\'" "\ @@ -15788,7 +15865,7 @@ of `inferior-lisp-program'). Runs the hooks from ;;;### (autoloads (Info-speedbar-browser Info-goto-emacs-key-command-node ;;;;;; Info-goto-emacs-command-node Info-mode info-apropos Info-index ;;;;;; Info-directory Info-on-current-buffer info-standalone info-emacs-manual -;;;;;; info info-other-window) "info" "info.el" (18132 64031)) +;;;;;; info info-other-window) "info" "info.el" (18307 57856)) ;;; Generated autoloads from info.el (autoload 'info-other-window "info" "\ @@ -15954,7 +16031,7 @@ This will add a speedbar major display mode. ;;;### (autoloads (info-complete-file info-complete-symbol info-lookup-file ;;;;;; info-lookup-symbol info-lookup-reset) "info-look" "info-look.el" -;;;;;; (18120 34749)) +;;;;;; (18307 57856)) ;;; Generated autoloads from info-look.el (autoload 'info-lookup-reset "info-look" "\ @@ -16002,7 +16079,7 @@ Perform completion on file preceding point. ;;;*** ;;;### (autoloads (info-xref-check-all-custom info-xref-check-all -;;;;;; info-xref-check) "info-xref" "info-xref.el" (18088 55085)) +;;;;;; info-xref-check) "info-xref" "info-xref.el" (18307 57856)) ;;; Generated autoloads from info-xref.el (autoload 'info-xref-check "info-xref" "\ @@ -16029,7 +16106,7 @@ quite a while. ;;;*** ;;;### (autoloads (batch-info-validate Info-validate Info-split Info-tagify) -;;;;;; "informat" "informat.el" (18088 55086)) +;;;;;; "informat" "informat.el" (18307 57856)) ;;; Generated autoloads from informat.el (autoload 'Info-tagify "informat" "\ @@ -16069,7 +16146,7 @@ For example, invoke \"emacs -batch -f batch-info-validate $info/ ~/*.info\" ;;;*** ;;;### (autoloads (isearch-buffers-minor-mode) "isearch-multi" "isearch-multi.el" -;;;;;; (18210 13714)) +;;;;;; (18308 19808)) ;;; Generated autoloads from isearch-multi.el (defvar isearch-buffers-current-buffer nil "\ @@ -16080,15 +16157,15 @@ The value is nil when the search still is in the initial buffer.") Function to call to get the next buffer to search. When this variable is set to a function that returns a buffer, then -after typing another C-s or C-r at a failing search, the search goes +after typing another \\[isearch-forward] or \\[isearch-backward] at a failing search, the search goes to the next buffer in the series and continues searching for the next occurrence. The first argument of this function is the current buffer where the search is currently searching. It defines the base buffer relative to which this function should find the next buffer. When the isearch -direction is backward (when isearch-forward is nil), this function -should return the previous buffer to search. If the second argument of +direction is backward (when `isearch-forward' is nil), this function +should return the previous buffer to search. If the second argument of this function WRAP is non-nil, then it should return the first buffer in the series; and for the backward search, it should return the last buffer in the series.") @@ -16103,7 +16180,7 @@ With arg, turn isearch-buffers minor mode on if arg is positive, off otherwise. ;;;### (autoloads (isearch-process-search-multibyte-characters isearch-toggle-input-method ;;;;;; isearch-toggle-specified-input-method) "isearch-x" "international/isearch-x.el" -;;;;;; (18088 55107)) +;;;;;; (18307 57867)) ;;; Generated autoloads from international/isearch-x.el (autoload 'isearch-toggle-specified-input-method "isearch-x" "\ @@ -16123,8 +16200,8 @@ Not documented ;;;*** -;;;### (autoloads (isearchb-activate) "isearchb" "isearchb.el" (18088 -;;;;;; 55086)) +;;;### (autoloads (isearchb-activate) "isearchb" "isearchb.el" (18307 +;;;;;; 57856)) ;;; Generated autoloads from isearchb.el (autoload 'isearchb-activate "isearchb" "\ @@ -16140,68 +16217,75 @@ accessed via isearchb. ;;;### (autoloads (iso-cvt-define-menu iso-cvt-write-only iso-cvt-read-only ;;;;;; iso-sgml2iso iso-iso2sgml iso-iso2duden iso-iso2gtex iso-gtex2iso ;;;;;; iso-tex2iso iso-iso2tex iso-german iso-spanish) "iso-cvt" -;;;;;; "international/iso-cvt.el" (18088 55107)) +;;;;;; "international/iso-cvt.el" (18307 57867)) ;;; Generated autoloads from international/iso-cvt.el (autoload 'iso-spanish "iso-cvt" "\ Translate net conventions for Spanish to ISO 8859-1. -The region between FROM and TO is translated using the table TRANS-TAB. +Translate the region between FROM and TO using the table +`iso-spanish-trans-tab'. Optional arg BUFFER is ignored (for use in `format-alist'). \(fn FROM TO &optional BUFFER)" t nil) (autoload 'iso-german "iso-cvt" "\ Translate net conventions for German to ISO 8859-1. -The region between FROM and TO is translated using the table TRANS-TAB. +Translate the region FROM and TO using the table +`iso-german-trans-tab'. Optional arg BUFFER is ignored (for use in `format-alist'). \(fn FROM TO &optional BUFFER)" t nil) (autoload 'iso-iso2tex "iso-cvt" "\ Translate ISO 8859-1 characters to TeX sequences. -The region between FROM and TO is translated using the table TRANS-TAB. +Translate the region between FROM and TO using the table +`iso-iso2tex-trans-tab'. Optional arg BUFFER is ignored (for use in `format-alist'). \(fn FROM TO &optional BUFFER)" t nil) (autoload 'iso-tex2iso "iso-cvt" "\ Translate TeX sequences to ISO 8859-1 characters. -The region between FROM and TO is translated using the table TRANS-TAB. +Translate the region between FROM and TO using the table +`iso-tex2iso-trans-tab'. Optional arg BUFFER is ignored (for use in `format-alist'). \(fn FROM TO &optional BUFFER)" t nil) (autoload 'iso-gtex2iso "iso-cvt" "\ Translate German TeX sequences to ISO 8859-1 characters. -The region between FROM and TO is translated using the table TRANS-TAB. +Translate the region between FROM and TO using the table +`iso-gtex2iso-trans-tab'. Optional arg BUFFER is ignored (for use in `format-alist'). \(fn FROM TO &optional BUFFER)" t nil) (autoload 'iso-iso2gtex "iso-cvt" "\ Translate ISO 8859-1 characters to German TeX sequences. -The region between FROM and TO is translated using the table TRANS-TAB. +Translate the region between FROM and TO using the table +`iso-iso2gtex-trans-tab'. Optional arg BUFFER is ignored (for use in `format-alist'). \(fn FROM TO &optional BUFFER)" t nil) (autoload 'iso-iso2duden "iso-cvt" "\ -Translate ISO 8859-1 characters to German TeX sequences. -The region between FROM and TO is translated using the table TRANS-TAB. +Translate ISO 8859-1 characters to Duden sequences. +Translate the region between FROM and TO using the table +`iso-iso2duden-trans-tab'. Optional arg BUFFER is ignored (for use in `format-alist'). \(fn FROM TO &optional BUFFER)" t nil) (autoload 'iso-iso2sgml "iso-cvt" "\ Translate ISO 8859-1 characters in the region to SGML entities. -The entities used are from \"ISO 8879:1986//ENTITIES Added Latin 1//EN\". +Use entities from \"ISO 8879:1986//ENTITIES Added Latin 1//EN\". Optional arg BUFFER is ignored (for use in `format-alist'). \(fn FROM TO &optional BUFFER)" t nil) (autoload 'iso-sgml2iso "iso-cvt" "\ Translate SGML entities in the region to ISO 8859-1 characters. -The entities used are from \"ISO 8879:1986//ENTITIES Added Latin 1//EN\". +Use entities from \"ISO 8879:1986//ENTITIES Added Latin 1//EN\". Optional arg BUFFER is ignored (for use in `format-alist'). \(fn FROM TO &optional BUFFER)" t nil) @@ -16224,7 +16308,7 @@ Add submenus to the File menu, to convert to and from various formats. ;;;*** ;;;### (autoloads nil "iso-transl" "international/iso-transl.el" -;;;;;; (18088 55107)) +;;;;;; (18307 57867)) ;;; Generated autoloads from international/iso-transl.el (or key-translation-map (setq key-translation-map (make-sparse-keymap))) (define-key key-translation-map "\C-x8" 'iso-transl-ctl-x-8-map) @@ -16237,7 +16321,7 @@ Add submenus to the File menu, to convert to and from various formats. ;;;;;; ispell-region ispell-change-dictionary ispell-kill-ispell ;;;;;; ispell-help ispell-pdict-save ispell-word ispell-local-dictionary-alist ;;;;;; ispell-personal-dictionary) "ispell" "textmodes/ispell.el" -;;;;;; (18200 51267)) +;;;;;; (18307 57873)) ;;; Generated autoloads from textmodes/ispell.el (put 'ispell-check-comments 'safe-local-variable (lambda (a) (memq a '(nil t exclusive)))) @@ -16362,6 +16446,7 @@ for skipping in latex mode.") Same format as `ispell-skip-region-alist' Note - substrings of other matches must come last (e.g. \"<[tT][tT]/\" and \"<[^ \\t\\n>]\").") +(put 'ispell-local-pdict 'safe-local-variable 'stringp) (define-key esc-map "$" 'ispell-word) (autoload 'ispell-word "ispell" "\ @@ -16528,8 +16613,8 @@ You can bind this to the key C-c i in GNUS or mail by adding to ;;;*** -;;;### (autoloads (iswitchb-mode) "iswitchb" "iswitchb.el" (18120 -;;;;;; 34749)) +;;;### (autoloads (iswitchb-mode) "iswitchb" "iswitchb.el" (18302 +;;;;;; 4831)) ;;; Generated autoloads from iswitchb.el (defvar iswitchb-mode nil "\ @@ -16554,7 +16639,7 @@ This mode enables switching between buffers using substrings. See ;;;### (autoloads (read-hiragana-string japanese-zenkaku-region japanese-hankaku-region ;;;;;; japanese-hiragana-region japanese-katakana-region japanese-zenkaku ;;;;;; japanese-hankaku japanese-hiragana japanese-katakana setup-japanese-environment-internal) -;;;;;; "japan-util" "language/japan-util.el" (18088 55109)) +;;;;;; "japan-util" "language/japan-util.el" (18307 57867)) ;;; Generated autoloads from language/japan-util.el (autoload 'setup-japanese-environment-internal "japan-util" "\ @@ -16632,7 +16717,7 @@ If non-nil, second arg INITIAL-INPUT is a string to insert before reading. ;;;*** ;;;### (autoloads (jka-compr-uninstall jka-compr-handler) "jka-compr" -;;;;;; "jka-compr.el" (18088 55086)) +;;;;;; "jka-compr.el" (18307 57856)) ;;; Generated autoloads from jka-compr.el (defvar jka-compr-inhibit nil "\ @@ -16657,7 +16742,7 @@ by `jka-compr-installed'. ;;;### (autoloads (keypad-setup keypad-numlock-shifted-setup keypad-shifted-setup ;;;;;; keypad-numlock-setup keypad-setup) "keypad" "emulation/keypad.el" -;;;;;; (18088 55097)) +;;;;;; (18307 57862)) ;;; Generated autoloads from emulation/keypad.el (defvar keypad-setup nil "\ @@ -16713,7 +16798,7 @@ the decimal key on the keypad is mapped to DECIMAL instead of `.' ;;;*** ;;;### (autoloads (kinsoku) "kinsoku" "international/kinsoku.el" -;;;;;; (18088 55107)) +;;;;;; (18307 57867)) ;;; Generated autoloads from international/kinsoku.el (autoload 'kinsoku "kinsoku" "\ @@ -16734,8 +16819,8 @@ the context of text formatting. ;;;*** -;;;### (autoloads (kkc-region) "kkc" "international/kkc.el" (18088 -;;;;;; 55107)) +;;;### (autoloads (kkc-region) "kkc" "international/kkc.el" (18307 +;;;;;; 57867)) ;;; Generated autoloads from international/kkc.el (defvar kkc-after-update-conversion-functions nil "\ @@ -16760,7 +16845,7 @@ and the return value is the length of the conversion. ;;;### (autoloads (kmacro-end-call-mouse kmacro-end-and-call-macro ;;;;;; kmacro-end-or-call-macro kmacro-start-macro-or-insert-counter ;;;;;; kmacro-call-macro kmacro-end-macro kmacro-start-macro) "kmacro" -;;;;;; "kmacro.el" (18088 55086)) +;;;;;; "kmacro.el" (18307 57856)) ;;; Generated autoloads from kmacro.el (global-set-key "\C-x(" 'kmacro-start-macro) (global-set-key "\C-x)" 'kmacro-end-macro) @@ -16867,7 +16952,7 @@ If kbd macro currently being defined end it before activating it. ;;;### (autoloads (kannada-post-read-conversion kannada-compose-string ;;;;;; kannada-compose-region) "knd-util" "language/knd-util.el" -;;;;;; (18088 55109)) +;;;;;; (18307 57867)) ;;; Generated autoloads from language/knd-util.el (defconst kannada-consonant "[\x51f75-\x51fb9]") @@ -16890,7 +16975,7 @@ Not documented ;;;*** ;;;### (autoloads (setup-korean-environment-internal) "korea-util" -;;;;;; "language/korea-util.el" (18088 55109)) +;;;;;; "language/korea-util.el" (18307 57867)) ;;; Generated autoloads from language/korea-util.el (defvar default-korean-keyboard (if (string-match "3" (or (getenv "HANGUL_KEYBOARD_TYPE") "")) "3" "") "\ @@ -16905,7 +16990,7 @@ Not documented ;;;*** ;;;### (autoloads (lm lm-test-run) "landmark" "play/landmark.el" -;;;;;; (18202 4002)) +;;;;;; (18307 57870)) ;;; Generated autoloads from play/landmark.el (defalias 'landmark-repeat 'lm-test-run) @@ -16939,8 +17024,8 @@ Use \\[describe-mode] for more info. ;;;### (autoloads (lao-compose-region lao-composition-function lao-post-read-conversion ;;;;;; lao-transcribe-roman-to-lao-string lao-transcribe-single-roman-syllable-to-lao -;;;;;; lao-compose-string) "lao-util" "language/lao-util.el" (18088 -;;;;;; 55109)) +;;;;;; lao-compose-string) "lao-util" "language/lao-util.el" (18307 +;;;;;; 57867)) ;;; Generated autoloads from language/lao-util.el (autoload 'lao-compose-string "lao-util" "\ @@ -16989,7 +17074,7 @@ Not documented ;;;### (autoloads (latexenc-find-file-coding-system latexenc-coding-system-to-inputenc ;;;;;; latexenc-inputenc-to-coding-system latex-inputenc-coding-alist) -;;;;;; "latexenc" "international/latexenc.el" (18088 55107)) +;;;;;; "latexenc" "international/latexenc.el" (18307 57867)) ;;; Generated autoloads from international/latexenc.el (defvar latex-inputenc-coding-alist '(("ansinew" . windows-1252) ("applemac" . mac-roman) ("ascii" . us-ascii) ("cp1250" . windows-1250) ("cp1252" . windows-1252) ("cp1257" . cp1257) ("cp437de" . cp437) ("cp437" . cp437) ("cp850" . cp850) ("cp852" . cp852) ("cp858" . cp858) ("cp865" . cp865) ("latin1" . iso-8859-1) ("latin2" . iso-8859-2) ("latin3" . iso-8859-3) ("latin4" . iso-8859-4) ("latin5" . iso-8859-5) ("latin9" . iso-8859-15) ("next" . next) ("utf8" . utf-8) ("utf8x" . utf-8)) "\ @@ -17021,7 +17106,7 @@ coding system names is determined from `latex-inputenc-coding-alist'. ;;;*** ;;;### (autoloads (latin1-display-ucs-per-lynx latin1-display latin1-display) -;;;;;; "latin1-disp" "international/latin1-disp.el" (18120 34751)) +;;;;;; "latin1-disp" "international/latin1-disp.el" (18307 57867)) ;;; Generated autoloads from international/latin1-disp.el (defvar latin1-display nil "\ @@ -17065,7 +17150,7 @@ use either \\[customize] or the function `latin1-display'.") ;;;*** ;;;### (autoloads (ld-script-mode) "ld-script" "progmodes/ld-script.el" -;;;;;; (18088 55117)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/ld-script.el (add-to-list 'auto-mode-alist '("\\.ld[si]?\\>" . ld-script-mode)) @@ -17080,7 +17165,7 @@ A major mode to edit GNU ld script files ;;;*** ;;;### (autoloads (ledit-from-lisp-mode ledit-mode) "ledit" "ledit.el" -;;;;;; (18088 55086)) +;;;;;; (18307 57856)) ;;; Generated autoloads from ledit.el (defconst ledit-save-files t "\ @@ -17115,7 +17200,7 @@ Not documented ;;;*** -;;;### (autoloads (life) "life" "play/life.el" (18088 55114)) +;;;### (autoloads (life) "life" "play/life.el" (18307 57870)) ;;; Generated autoloads from play/life.el (autoload 'life "life" "\ @@ -17128,8 +17213,8 @@ generations (this defaults to 1). ;;;*** -;;;### (autoloads (unload-feature) "loadhist" "loadhist.el" (18213 -;;;;;; 13926)) +;;;### (autoloads (unload-feature) "loadhist" "loadhist.el" (18307 +;;;;;; 57856)) ;;; Generated autoloads from loadhist.el (autoload 'unload-feature "loadhist" "\ @@ -17161,7 +17246,7 @@ something strange, such as redefining an Emacs function. ;;;*** ;;;### (autoloads (locate-with-filter locate locate-ls-subdir-switches) -;;;;;; "locate" "locate.el" (18126 18420)) +;;;;;; "locate" "locate.el" (18307 57856)) ;;; Generated autoloads from locate.el (defvar locate-ls-subdir-switches "-al" "\ @@ -17212,29 +17297,34 @@ except that FILTER is not optional. ;;;*** -;;;### (autoloads (log-edit) "log-edit" "log-edit.el" (18120 34749)) +;;;### (autoloads (log-edit) "log-edit" "log-edit.el" (18317 11736)) ;;; Generated autoloads from log-edit.el (autoload 'log-edit "log-edit" "\ Setup a buffer to enter a log message. \\<log-edit-mode-map>The buffer will be put in `log-edit-mode'. If SETUP is non-nil, the buffer is then erased and `log-edit-hook' is run. -Mark and point will be set around the entire contents of the -buffer so that it is easy to kill the contents of the buffer with \\[kill-region]. +Mark and point will be set around the entire contents of the buffer so +that it is easy to kill the contents of the buffer with \\[kill-region]. Once you're done editing the message, pressing \\[log-edit-done] will call `log-edit-done' which will end up calling CALLBACK to do the actual commit. -LISTFUN if non-nil is a function of no arguments returning the list of files - that are concerned by the current operation (using relative names). + +PARAMS if non-nil is an alist. Possible keys and associated values: + `log-edit-listfun' -- function taking no arguments that returns the list of + files that are concerned by the current operation (using relative names); + `log-edit-diff-function' -- function taking no arguments that + displays a diff of the files concerned by the current operation. + If BUFFER is non-nil `log-edit' will jump to that buffer, use it to edit the - log message and go back to the current buffer when done. Otherwise, it - uses the current buffer. +log message and go back to the current buffer when done. Otherwise, it +uses the current buffer. -\(fn CALLBACK &optional SETUP LISTFUN BUFFER &rest IGNORE)" nil nil) +\(fn CALLBACK &optional SETUP PARAMS BUFFER &rest IGNORE)" nil nil) ;;;*** -;;;### (autoloads (log-view-mode) "log-view" "log-view.el" (18202 -;;;;;; 3995)) +;;;### (autoloads (log-view-mode) "log-view" "log-view.el" (18301 +;;;;;; 42251)) ;;; Generated autoloads from log-view.el (autoload 'log-view-mode "log-view" "\ @@ -17244,8 +17334,8 @@ Major mode for browsing CVS log output. ;;;*** -;;;### (autoloads (longlines-mode) "longlines" "longlines.el" (18214 -;;;;;; 4763)) +;;;### (autoloads (longlines-mode) "longlines" "longlines.el" (18307 +;;;;;; 57856)) ;;; Generated autoloads from longlines.el (autoload 'longlines-mode "longlines" "\ @@ -17266,13 +17356,13 @@ are indicated with a symbol. ;;;*** ;;;### (autoloads (print-region lpr-region print-buffer lpr-buffer -;;;;;; lpr-command lpr-switches printer-name) "lpr" "lpr.el" (18088 -;;;;;; 55086)) +;;;;;; lpr-command lpr-switches printer-name) "lpr" "lpr.el" (18307 +;;;;;; 57856)) ;;; Generated autoloads from lpr.el (defvar lpr-windows-system (memq system-type '(emx win32 w32 mswindows ms-dos windows-nt))) -(defvar lpr-lp-system (memq system-type '(usg-unix-v dgux hpux irix))) +(defvar lpr-lp-system (memq system-type '(usg-unix-v hpux irix))) (defvar printer-name (and lpr-windows-system "PRN") "\ *The name of a local printer to which data is sent for printing. @@ -17361,7 +17451,7 @@ for further customization of the printer command. ;;;*** ;;;### (autoloads (ls-lisp-support-shell-wildcards) "ls-lisp" "ls-lisp.el" -;;;;;; (18088 55086)) +;;;;;; (18307 57856)) ;;; Generated autoloads from ls-lisp.el (defvar ls-lisp-support-shell-wildcards t "\ @@ -17372,8 +17462,8 @@ Otherwise they are treated as Emacs regexps (for backward compatibility).") ;;;*** -;;;### (autoloads (phases-of-moon) "lunar" "calendar/lunar.el" (18088 -;;;;;; 55094)) +;;;### (autoloads (phases-of-moon) "lunar" "calendar/lunar.el" (18307 +;;;;;; 57860)) ;;; Generated autoloads from calendar/lunar.el (autoload 'phases-of-moon "lunar" "\ @@ -17386,8 +17476,8 @@ This function is suitable for execution in a .emacs file. ;;;*** -;;;### (autoloads (m4-mode) "m4-mode" "progmodes/m4-mode.el" (18088 -;;;;;; 55117)) +;;;### (autoloads (m4-mode) "m4-mode" "progmodes/m4-mode.el" (18307 +;;;;;; 57871)) ;;; Generated autoloads from progmodes/m4-mode.el (autoload 'm4-mode "m4-mode" "\ @@ -17399,7 +17489,7 @@ A major mode to edit m4 macro files. ;;;*** ;;;### (autoloads (macroexpand-all) "macroexp" "emacs-lisp/macroexp.el" -;;;;;; (18088 55096)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/macroexp.el (autoload 'macroexpand-all "macroexp" "\ @@ -17413,7 +17503,7 @@ definitions to shadow the loaded ones for use in file byte-compilation. ;;;*** ;;;### (autoloads (apply-macro-to-region-lines kbd-macro-query insert-kbd-macro -;;;;;; name-last-kbd-macro) "macros" "macros.el" (18088 55086)) +;;;;;; name-last-kbd-macro) "macros" "macros.el" (18307 57856)) ;;; Generated autoloads from macros.el (autoload 'name-last-kbd-macro "macros" "\ @@ -17502,7 +17592,7 @@ and then select the region of un-tablified names and use ;;;*** ;;;### (autoloads (what-domain mail-extract-address-components) "mail-extr" -;;;;;; "mail/mail-extr.el" (18088 55109)) +;;;;;; "mail/mail-extr.el" (18307 57869)) ;;; Generated autoloads from mail/mail-extr.el (autoload 'mail-extract-address-components "mail-extr" "\ @@ -17534,7 +17624,7 @@ Convert mail domain DOMAIN to the country it corresponds to. ;;;### (autoloads (mail-hist-put-headers-into-history mail-hist-keep-history ;;;;;; mail-hist-enable mail-hist-define-keys) "mail-hist" "mail/mail-hist.el" -;;;;;; (18088 55110)) +;;;;;; (18307 57869)) ;;; Generated autoloads from mail/mail-hist.el (autoload 'mail-hist-define-keys "mail-hist" "\ @@ -17565,8 +17655,8 @@ This function normally would be called when the message is sent. ;;;### (autoloads (mail-fetch-field mail-unquote-printable-region ;;;;;; mail-unquote-printable mail-quote-printable mail-file-babyl-p -;;;;;; mail-use-rfc822) "mail-utils" "mail/mail-utils.el" (18088 -;;;;;; 55110)) +;;;;;; mail-use-rfc822) "mail-utils" "mail/mail-utils.el" (18307 +;;;;;; 57869)) ;;; Generated autoloads from mail/mail-utils.el (defvar mail-use-rfc822 nil "\ @@ -17617,10 +17707,25 @@ If 4th arg LIST is non-nil, return a list of all such fields. ;;;*** -;;;### (autoloads (define-mail-abbrev build-mail-abbrevs mail-abbrevs-setup) -;;;;;; "mailabbrev" "mail/mailabbrev.el" (18120 34751)) +;;;### (autoloads (define-mail-abbrev build-mail-abbrevs mail-abbrevs-setup +;;;;;; mail-abbrevs-mode) "mailabbrev" "mail/mailabbrev.el" (18307 +;;;;;; 57869)) ;;; Generated autoloads from mail/mailabbrev.el +(defvar mail-abbrevs-mode nil "\ +Non-nil if Mail-Abbrevs mode is enabled. +See the command `mail-abbrevs-mode' for a description of this minor mode. +Setting this variable directly does not take effect; +either customize it (see the info node `Easy Customization') +or call the function `mail-abbrevs-mode'.") + +(custom-autoload 'mail-abbrevs-mode "mailabbrev" nil) + +(autoload 'mail-abbrevs-mode "mailabbrev" "\ +Non-nil means expand mail aliases as abbrevs, in certain message headers. + +\(fn &optional ARG)" t nil) + (autoload 'mail-abbrevs-setup "mailabbrev" "\ Initialize use of the `mailabbrev' package. @@ -17641,8 +17746,8 @@ If DEFINITION contains multiple addresses, separate them with commas. ;;;*** ;;;### (autoloads (mail-complete define-mail-alias expand-mail-aliases -;;;;;; mail-complete-style) "mailalias" "mail/mailalias.el" (18088 -;;;;;; 55110)) +;;;;;; mail-complete-style) "mailalias" "mail/mailalias.el" (18307 +;;;;;; 57869)) ;;; Generated autoloads from mail/mailalias.el (defvar mail-complete-style 'angles "\ @@ -17688,7 +17793,7 @@ current header, calls `mail-complete-function' and passes prefix arg if any. ;;;*** ;;;### (autoloads (mailclient-send-it) "mailclient" "mail/mailclient.el" -;;;;;; (18088 55110)) +;;;;;; (18307 57869)) ;;; Generated autoloads from mail/mailclient.el (autoload 'mailclient-send-it "mailclient" "\ @@ -17702,7 +17807,7 @@ The mail client is taken to be the handler of mailto URLs. ;;;### (autoloads (makefile-imake-mode makefile-bsdmake-mode makefile-makepp-mode ;;;;;; makefile-gmake-mode makefile-automake-mode makefile-mode) -;;;;;; "make-mode" "progmodes/make-mode.el" (18088 55117)) +;;;;;; "make-mode" "progmodes/make-mode.el" (18307 57871)) ;;; Generated autoloads from progmodes/make-mode.el (autoload 'makefile-mode "make-mode" "\ @@ -17819,8 +17924,8 @@ An adapted `makefile-mode' that knows about imake. ;;;*** -;;;### (autoloads (make-command-summary) "makesum" "makesum.el" (18088 -;;;;;; 55086)) +;;;### (autoloads (make-command-summary) "makesum" "makesum.el" (18302 +;;;;;; 4831)) ;;; Generated autoloads from makesum.el (autoload 'make-command-summary "makesum" "\ @@ -17831,7 +17936,7 @@ Previous contents of that buffer are killed first. ;;;*** -;;;### (autoloads (man-follow man) "man" "man.el" (18153 31207)) +;;;### (autoloads (man-follow man) "man" "man.el" (18307 57856)) ;;; Generated autoloads from man.el (defalias 'manual-entry 'man) @@ -17858,7 +17963,7 @@ Get a Un*x manual page of the item under point and put it in a buffer. ;;;*** -;;;### (autoloads (master-mode) "master" "master.el" (18088 55086)) +;;;### (autoloads (master-mode) "master" "master.el" (18307 57856)) ;;; Generated autoloads from master.el (autoload 'master-mode "master" "\ @@ -17881,7 +17986,7 @@ yourself the value of `master-of' by calling `master-show-slave'. ;;;*** ;;;### (autoloads (minibuffer-indicate-depth-mode) "mb-depth" "mb-depth.el" -;;;;;; (18187 36839)) +;;;;;; (18308 19808)) ;;; Generated autoloads from mb-depth.el (defvar minibuffer-indicate-depth-mode nil "\ @@ -17906,8 +18011,8 @@ Returns non-nil if the new state is enabled. ;;;*** -;;;### (autoloads (menu-bar-mode) "menu-bar" "menu-bar.el" (18211 -;;;;;; 32385)) +;;;### (autoloads (menu-bar-mode) "menu-bar" "menu-bar.el" (18307 +;;;;;; 57856)) ;;; Generated autoloads from menu-bar.el (put 'menu-bar-mode 'standard-value '(t)) @@ -17938,7 +18043,7 @@ turn on menu bars; otherwise, turn off menu bars. ;;;;;; message-forward-make-body message-forward message-recover ;;;;;; message-supersede message-cancel-news message-followup message-wide-reply ;;;;;; message-reply message-news message-mail message-mode) "message" -;;;;;; "gnus/message.el" (18212 46007)) +;;;;;; "gnus/message.el" (18307 57866)) ;;; Generated autoloads from gnus/message.el (define-mail-user-agent 'message-user-agent 'message-mail 'message-send-and-exit 'message-kill-buffer 'message-send-hook) @@ -18104,7 +18209,7 @@ which specify the range to operate on. ;;;*** ;;;### (autoloads (metapost-mode metafont-mode) "meta-mode" "progmodes/meta-mode.el" -;;;;;; (18161 20996)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/meta-mode.el (autoload 'metafont-mode "meta-mode" "\ @@ -18131,7 +18236,7 @@ Turning on MetaPost mode calls the value of the variable ;;;### (autoloads (metamail-region metamail-buffer metamail-interpret-body ;;;;;; metamail-interpret-header) "metamail" "mail/metamail.el" -;;;;;; (18088 55110)) +;;;;;; (18307 57869)) ;;; Generated autoloads from mail/metamail.el (autoload 'metamail-interpret-header "metamail" "\ @@ -18176,7 +18281,7 @@ redisplayed as output is inserted. ;;;### (autoloads (mh-fully-kill-draft mh-send-letter mh-user-agent-compose ;;;;;; mh-smail-batch mh-smail-other-window mh-smail) "mh-comp" -;;;;;; "mh-e/mh-comp.el" (18128 32656)) +;;;;;; "mh-e/mh-comp.el" (18307 57869)) ;;; Generated autoloads from mh-e/mh-comp.el (autoload 'mh-smail "mh-comp" "\ @@ -18263,7 +18368,7 @@ delete the draft message. ;;;*** -;;;### (autoloads (mh-version) "mh-e" "mh-e/mh-e.el" (18152 13227)) +;;;### (autoloads (mh-version) "mh-e" "mh-e/mh-e.el" (18307 57869)) ;;; Generated autoloads from mh-e/mh-e.el (put 'mh-progs 'risky-local-variable t) @@ -18280,7 +18385,7 @@ Display version information about MH-E and the MH mail handling system. ;;;*** ;;;### (autoloads (mh-folder-mode mh-nmail mh-rmail) "mh-folder" -;;;;;; "mh-e/mh-folder.el" (18123 35153)) +;;;;;; "mh-e/mh-folder.el" (18307 57869)) ;;; Generated autoloads from mh-e/mh-folder.el (autoload 'mh-rmail "mh-folder" "\ @@ -18362,7 +18467,7 @@ perform the operation on all messages in that region. ;;;*** ;;;### (autoloads (midnight-delay-set clean-buffer-list) "midnight" -;;;;;; "midnight.el" (18088 55086)) +;;;;;; "midnight.el" (18307 57856)) ;;; Generated autoloads from midnight.el (autoload 'clean-buffer-list "midnight" "\ @@ -18389,7 +18494,7 @@ to its second argument TM. ;;;*** ;;;### (autoloads (minibuffer-electric-default-mode) "minibuf-eldef" -;;;;;; "minibuf-eldef.el" (18088 55087)) +;;;;;; "minibuf-eldef.el" (18307 57856)) ;;; Generated autoloads from minibuf-eldef.el (defvar minibuffer-electric-default-mode nil "\ @@ -18417,7 +18522,7 @@ Returns non-nil if the new state is enabled. ;;;*** ;;;### (autoloads (mixal-mode) "mixal-mode" "progmodes/mixal-mode.el" -;;;;;; (18088 55117)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/mixal-mode.el (autoload 'mixal-mode "mixal-mode" "\ @@ -18432,7 +18537,7 @@ Major mode for the mixal asm language. ;;;### (autoloads (malayalam-composition-function malayalam-post-read-conversion ;;;;;; malayalam-compose-region) "mlm-util" "language/mlm-util.el" -;;;;;; (18088 55109)) +;;;;;; (18307 57867)) ;;; Generated autoloads from language/mlm-util.el (autoload 'malayalam-compose-region "mlm-util" "\ @@ -18455,7 +18560,7 @@ PATTERN regexp. ;;;*** ;;;### (autoloads (mm-inline-external-body mm-extern-cache-contents) -;;;;;; "mm-extern" "gnus/mm-extern.el" (18088 55104)) +;;;;;; "mm-extern" "gnus/mm-extern.el" (18307 57866)) ;;; Generated autoloads from gnus/mm-extern.el (autoload 'mm-extern-cache-contents "mm-extern" "\ @@ -18474,7 +18579,7 @@ If NO-DISPLAY is nil, display it. Otherwise, do nothing after replacing. ;;;*** ;;;### (autoloads (mm-inline-partial) "mm-partial" "gnus/mm-partial.el" -;;;;;; (18212 46007)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/mm-partial.el (autoload 'mm-inline-partial "mm-partial" "\ @@ -18488,7 +18593,7 @@ If NO-DISPLAY is nil, display it. Otherwise, do nothing after replacing. ;;;*** ;;;### (autoloads (mm-url-insert-file-contents-external mm-url-insert-file-contents) -;;;;;; "mm-url" "gnus/mm-url.el" (18212 46007)) +;;;;;; "mm-url" "gnus/mm-url.el" (18307 57866)) ;;; Generated autoloads from gnus/mm-url.el (autoload 'mm-url-insert-file-contents "mm-url" "\ @@ -18505,7 +18610,7 @@ Insert file contents of URL using `mm-url-program'. ;;;*** ;;;### (autoloads (mm-uu-dissect-text-parts mm-uu-dissect) "mm-uu" -;;;;;; "gnus/mm-uu.el" (18212 46007)) +;;;;;; "gnus/mm-uu.el" (18307 57866)) ;;; Generated autoloads from gnus/mm-uu.el (autoload 'mm-uu-dissect "mm-uu" "\ @@ -18525,7 +18630,7 @@ Assume text has been decoded if DECODED is non-nil. ;;;*** ;;;### (autoloads (mml1991-sign mml1991-encrypt) "mml1991" "gnus/mml1991.el" -;;;;;; (18212 46007)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/mml1991.el (autoload 'mml1991-encrypt "mml1991" "\ @@ -18542,7 +18647,7 @@ Not documented ;;;### (autoloads (mml2015-self-encrypt mml2015-sign mml2015-encrypt ;;;;;; mml2015-verify-test mml2015-verify mml2015-decrypt-test mml2015-decrypt) -;;;;;; "mml2015" "gnus/mml2015.el" (18212 46007)) +;;;;;; "mml2015" "gnus/mml2015.el" (18307 57866)) ;;; Generated autoloads from gnus/mml2015.el (autoload 'mml2015-decrypt "mml2015" "\ @@ -18583,7 +18688,7 @@ Not documented ;;;*** ;;;### (autoloads (modula-2-mode) "modula2" "progmodes/modula2.el" -;;;;;; (18130 62049)) +;;;;;; (18131 3995)) ;;; Generated autoloads from progmodes/modula2.el (autoload 'modula-2-mode "modula2" "\ @@ -18615,7 +18720,7 @@ followed by the first character of the construct. ;;;*** ;;;### (autoloads (unmorse-region morse-region) "morse" "play/morse.el" -;;;;;; (18088 55114)) +;;;;;; (18307 57870)) ;;; Generated autoloads from play/morse.el (autoload 'morse-region "morse" "\ @@ -18630,8 +18735,8 @@ Convert morse coded text in region to ordinary ASCII text. ;;;*** -;;;### (autoloads (mouse-sel-mode) "mouse-sel" "mouse-sel.el" (18088 -;;;;;; 55087)) +;;;### (autoloads (mouse-sel-mode) "mouse-sel" "mouse-sel.el" (18307 +;;;;;; 57856)) ;;; Generated autoloads from mouse-sel.el (defvar mouse-sel-mode nil "\ @@ -18683,7 +18788,7 @@ primary selection and region. ;;;*** -;;;### (autoloads (mpuz) "mpuz" "play/mpuz.el" (18088 55114)) +;;;### (autoloads (mpuz) "mpuz" "play/mpuz.el" (18307 57870)) ;;; Generated autoloads from play/mpuz.el (autoload 'mpuz "mpuz" "\ @@ -18693,7 +18798,7 @@ Multiplication puzzle with GNU Emacs. ;;;*** -;;;### (autoloads (msb-mode) "msb" "msb.el" (18211 32385)) +;;;### (autoloads (msb-mode) "msb" "msb.el" (18302 4831)) ;;; Generated autoloads from msb.el (defvar msb-mode nil "\ @@ -18720,7 +18825,7 @@ different buffer menu using the function `msb'. ;;;;;; describe-current-coding-system describe-current-coding-system-briefly ;;;;;; describe-coding-system describe-character-set list-charset-chars ;;;;;; read-charset list-character-sets) "mule-diag" "international/mule-diag.el" -;;;;;; (18173 8195)) +;;;;;; (18307 57867)) ;;; Generated autoloads from international/mule-diag.el (defvar non-iso-charset-alist `((mac-roman (ascii latin-iso8859-1 mule-unicode-2500-33ff mule-unicode-0100-24ff mule-unicode-e000-ffff) mac-roman-decoder ((0 255))) (viscii (ascii vietnamese-viscii-lower vietnamese-viscii-upper) viet-viscii-nonascii-translation-table ((0 255))) (vietnamese-tcvn (ascii vietnamese-viscii-lower vietnamese-viscii-upper) viet-tcvn-nonascii-translation-table ((0 255))) (koi8-r (ascii cyrillic-iso8859-5) cyrillic-koi8-r-nonascii-translation-table ((32 255))) (alternativnyj (ascii cyrillic-iso8859-5) cyrillic-alternativnyj-nonascii-translation-table ((32 255))) (koi8-u (ascii cyrillic-iso8859-5 mule-unicode-0100-24ff) cyrillic-koi8-u-nonascii-translation-table ((32 255))) (big5 (ascii chinese-big5-1 chinese-big5-2) decode-big5-char ((32 127) ((161 254) 64 126 161 254))) (sjis (ascii katakana-jisx0201 japanese-jisx0208) decode-sjis-char ((32 127 161 223) ((129 159 224 239) 64 126 128 252)))) "\ @@ -18894,7 +18999,7 @@ system which uses fontsets). ;;;;;; coding-system-translation-table-for-decode coding-system-pre-write-conversion ;;;;;; coding-system-post-read-conversion lookup-nested-alist set-nested-alist ;;;;;; truncate-string-to-width store-substring string-to-sequence) -;;;;;; "mule-util" "international/mule-util.el" (18088 55108)) +;;;;;; "mule-util" "international/mule-util.el" (18307 57867)) ;;; Generated autoloads from international/mule-util.el (autoload 'string-to-sequence "mule-util" "\ @@ -19023,7 +19128,7 @@ basis, this may not be accurate. ;;;*** ;;;### (autoloads (mwheel-install mouse-wheel-mode) "mwheel" "mwheel.el" -;;;;;; (18088 55087)) +;;;;;; (18307 57856)) ;;; Generated autoloads from mwheel.el (defvar mouse-wheel-mode nil "\ @@ -19052,7 +19157,7 @@ Enable mouse wheel support. ;;;### (autoloads (network-connection network-connection-to-service ;;;;;; whois-reverse-lookup whois finger ftp run-dig dns-lookup-host ;;;;;; nslookup nslookup-host route arp netstat ipconfig ping traceroute) -;;;;;; "net-utils" "net/net-utils.el" (18088 55112)) +;;;;;; "net-utils" "net/net-utils.el" (18307 57870)) ;;; Generated autoloads from net/net-utils.el (autoload 'traceroute "net-utils" "\ @@ -19148,7 +19253,7 @@ Open a network connection to HOST on PORT. ;;;;;; uncomment-region comment-kill comment-set-column comment-indent ;;;;;; comment-indent-default comment-normalize-vars comment-multi-line ;;;;;; comment-padding comment-style comment-column) "newcomment" -;;;;;; "newcomment.el" (18210 13714)) +;;;;;; "newcomment.el" (18307 57856)) ;;; Generated autoloads from newcomment.el (defalias 'indent-for-comment 'comment-indent) @@ -19310,7 +19415,8 @@ Call the comment command you want (Do What I Mean). If the region is active and `transient-mark-mode' is on, call `comment-region' (unless it only consists of comments, in which case it calls `uncomment-region'). -Else, if the current line is empty, insert a comment and indent it. +Else, if the current line is empty, call `comment-insert-comment-function' +if it is defined, otherwise insert a comment and indent it. Else if a prefix ARG is specified, call `comment-kill'. Else, call `comment-indent'. You can configure `comment-style' to change the way regions are commented. @@ -19344,7 +19450,7 @@ unless optional argument SOFT is non-nil. ;;;### (autoloads (newsticker-show-news newsticker-start-ticker newsticker-start ;;;;;; newsticker-ticker-running-p newsticker-running-p) "newsticker" -;;;;;; "net/newsticker.el" (18088 55112)) +;;;;;; "net/newsticker.el" (18307 57870)) ;;; Generated autoloads from net/newsticker.el (autoload 'newsticker-running-p "newsticker" "\ @@ -19386,7 +19492,7 @@ Switch to newsticker buffer. You may want to bind this to a key. ;;;*** ;;;### (autoloads (nndiary-generate-nov-databases) "nndiary" "gnus/nndiary.el" -;;;;;; (18212 46007)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/nndiary.el (autoload 'nndiary-generate-nov-databases "nndiary" "\ @@ -19396,8 +19502,8 @@ Generate NOV databases in all nndiary directories. ;;;*** -;;;### (autoloads (nndoc-add-type) "nndoc" "gnus/nndoc.el" (18212 -;;;;;; 46007)) +;;;### (autoloads (nndoc-add-type) "nndoc" "gnus/nndoc.el" (18307 +;;;;;; 57866)) ;;; Generated autoloads from gnus/nndoc.el (autoload 'nndoc-add-type "nndoc" "\ @@ -19412,7 +19518,7 @@ symbol in the alist. ;;;*** ;;;### (autoloads (nnfolder-generate-active-file) "nnfolder" "gnus/nnfolder.el" -;;;;;; (18212 46007)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/nnfolder.el (autoload 'nnfolder-generate-active-file "nnfolder" "\ @@ -19424,7 +19530,7 @@ This command does not work if you use short group names. ;;;*** ;;;### (autoloads (nnkiboze-generate-groups) "nnkiboze" "gnus/nnkiboze.el" -;;;;;; (18212 46007)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/nnkiboze.el (autoload 'nnkiboze-generate-groups "nnkiboze" "\ @@ -19436,7 +19542,7 @@ Finds out what articles are to be part of the nnkiboze groups. ;;;*** ;;;### (autoloads (nnml-generate-nov-databases) "nnml" "gnus/nnml.el" -;;;;;; (18212 46007)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/nnml.el (autoload 'nnml-generate-nov-databases "nnml" "\ @@ -19447,7 +19553,7 @@ Generate NOV databases in all nnml directories. ;;;*** ;;;### (autoloads (nnsoup-revert-variables nnsoup-set-variables nnsoup-pack-replies) -;;;;;; "nnsoup" "gnus/nnsoup.el" (18212 46007)) +;;;;;; "nnsoup" "gnus/nnsoup.el" (18307 57866)) ;;; Generated autoloads from gnus/nnsoup.el (autoload 'nnsoup-pack-replies "nnsoup" "\ @@ -19468,7 +19574,7 @@ Revert posting and mailing methods to the standard Emacs methods. ;;;*** ;;;### (autoloads (disable-command enable-command disabled-command-function) -;;;;;; "novice" "novice.el" (18088 55087)) +;;;;;; "novice" "novice.el" (18307 57856)) ;;; Generated autoloads from novice.el (defvar disabled-command-function 'disabled-command-function "\ @@ -19501,7 +19607,7 @@ to future sessions. ;;;*** ;;;### (autoloads (nroff-mode) "nroff-mode" "textmodes/nroff-mode.el" -;;;;;; (18120 34753)) +;;;;;; (18307 57874)) ;;; Generated autoloads from textmodes/nroff-mode.el (autoload 'nroff-mode "nroff-mode" "\ @@ -19515,8 +19621,97 @@ closing requests for requests that are used in matched pairs. ;;;*** +;;;### (autoloads (nxml-glyph-display-string) "nxml-glyph" "nxml/nxml-glyph.el" +;;;;;; (18308 19808)) +;;; Generated autoloads from nxml/nxml-glyph.el + +(autoload 'nxml-glyph-display-string "nxml-glyph" "\ +Return a string that can display a glyph for Unicode code-point N. +FACE gives the face that will be used for displaying the string. +Return nil if the face cannot display a glyph for N. + +\(fn N FACE)" nil nil) + +;;;*** + +;;;### (autoloads (nxml-mode) "nxml-mode" "nxml/nxml-mode.el" (18313 +;;;;;; 19474)) +;;; Generated autoloads from nxml/nxml-mode.el + +(autoload 'nxml-mode "nxml-mode" "\ +Major mode for editing XML. + +Syntax highlighting is performed unless the variable +`nxml-syntax-highlight-flag' is nil. + +\\[nxml-finish-element] finishes the current element by inserting an end-tag. +C-c C-i closes a start-tag with `>' and then inserts a balancing end-tag +leaving point between the start-tag and end-tag. +\\[nxml-balanced-close-start-tag-block] is similar but for block rather than inline elements: +the start-tag, point, and end-tag are all left on separate lines. +If `nxml-slash-auto-complete-flag' is non-nil, then inserting a `</' +automatically inserts the rest of the end-tag. + +\\[nxml-complete] performs completion on the symbol preceding point. + +\\[nxml-dynamic-markup-word] uses the contents of the current buffer +to choose a tag to put around the word preceding point. + +Sections of the document can be displayed in outline form. The +variable `nxml-section-element-name-regexp' controls when an element +is recognized as a section. The same key sequences that change +visibility in outline mode are used except that they start with C-c C-o +instead of C-c. + +Validation is provided by the related minor-mode `rng-validate-mode'. +This also makes completion schema- and context- sensitive. Element +names, attribute names, attribute values and namespace URIs can all be +completed. By default, `rng-validate-mode' is automatically enabled. You +can toggle it using \\[rng-validate-mode] or change the default by +customizing `rng-nxml-auto-validate-flag'. + +\\[indent-for-tab-command] indents the current line appropriately. +This can be customized using the variable `nxml-child-indent' +and the variable `nxml-attribute-indent'. + +\\[nxml-insert-named-char] inserts a character reference using +the character's name (by default, the Unicode name). \\[universal-argument] \\[nxml-insert-named-char] +inserts the character directly. + +The Emacs commands that normally operate on balanced expressions will +operate on XML markup items. Thus \\[forward-sexp] will move forward +across one markup item; \\[backward-sexp] will move backward across +one markup item; \\[kill-sexp] will kill the following markup item; +\\[mark-sexp] will mark the following markup item. By default, each +tag each treated as a single markup item; to make the complete element +be treated as a single markup item, set the variable +`nxml-sexp-element-flag' to t. For more details, see the function +`nxml-forward-balanced-item'. + +\\[nxml-backward-up-element] and \\[nxml-down-element] move up and down the element structure. + +Many aspects this mode can be customized using +\\[customize-group] nxml RET. + +\(fn)" t nil) + +;;;*** + +;;;### (autoloads (nxml-enable-unicode-char-name-sets) "nxml-uchnm" +;;;;;; "nxml/nxml-uchnm.el" (18312 40673)) +;;; Generated autoloads from nxml/nxml-uchnm.el + +(autoload 'nxml-enable-unicode-char-name-sets "nxml-uchnm" "\ +Enable the use of Unicode standard names for characters. +The Unicode blocks for which names are enabled is controlled by +the variable `nxml-enabled-unicode-blocks'. + +\(fn)" t nil) + +;;;*** + ;;;### (autoloads (octave-help) "octave-hlp" "progmodes/octave-hlp.el" -;;;;;; (18088 55117)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/octave-hlp.el (autoload 'octave-help "octave-hlp" "\ @@ -19530,7 +19725,7 @@ If KEY is not a string, prompt for it with completion. ;;;*** ;;;### (autoloads (inferior-octave) "octave-inf" "progmodes/octave-inf.el" -;;;;;; (18164 24097)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/octave-inf.el (autoload 'inferior-octave "octave-inf" "\ @@ -19553,7 +19748,7 @@ startup file, `~/.emacs-octave'. ;;;*** ;;;### (autoloads (octave-mode) "octave-mod" "progmodes/octave-mod.el" -;;;;;; (18203 37789)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/octave-mod.el (autoload 'octave-mode "octave-mod" "\ @@ -19652,7 +19847,7 @@ including a reproducible test case and send the message. ;;;;;; org-insert-link-global org-store-link orgtbl-mode turn-on-orgtbl ;;;;;; org-run-like-in-org-mode turn-on-orgstruct++ turn-on-orgstruct ;;;;;; orgstruct-mode org-global-cycle org-cycle org-mode) "org" -;;;;;; "textmodes/org.el" (18208 48754)) +;;;;;; "textmodes/org.el" (18311 19810)) ;;; Generated autoloads from textmodes/org.el (autoload 'org-mode "org" "\ @@ -20065,7 +20260,7 @@ The file is stored under the name `org-combined-agenda-icalendar-file'. ;;;### (autoloads (org-export-as-latex org-export-region-as-latex ;;;;;; org-replace-region-by-latex org-export-as-latex-to-buffer ;;;;;; org-export-as-latex-batch) "org-export-latex" "textmodes/org-export-latex.el" -;;;;;; (18214 4479)) +;;;;;; (18308 19808)) ;;; Generated autoloads from textmodes/org-export-latex.el (autoload 'org-export-as-latex-batch "org-export-latex" "\ @@ -20117,8 +20312,8 @@ Export current buffer to a LaTeX file. ;;;*** ;;;### (autoloads (org-publish-all org-publish-current-file org-publish-current-project -;;;;;; org-publish) "org-publish" "textmodes/org-publish.el" (18214 -;;;;;; 4479)) +;;;;;; org-publish) "org-publish" "textmodes/org-publish.el" (18308 +;;;;;; 19808)) ;;; Generated autoloads from textmodes/org-publish.el (autoload 'org-publish "org-publish" "\ @@ -20147,7 +20342,7 @@ With prefix argument, force publish all files. ;;;*** ;;;### (autoloads (outline-minor-mode outline-mode) "outline" "outline.el" -;;;;;; (18187 36839)) +;;;;;; (18307 57856)) ;;; Generated autoloads from outline.el (put 'outline-regexp 'safe-local-variable 'string-or-null-p) @@ -20203,8 +20398,8 @@ See the command `outline-mode' for more information on this mode. ;;;*** -;;;### (autoloads nil "paragraphs" "textmodes/paragraphs.el" (18088 -;;;;;; 55120)) +;;;### (autoloads nil "paragraphs" "textmodes/paragraphs.el" (18307 +;;;;;; 57874)) ;;; Generated autoloads from textmodes/paragraphs.el (put 'paragraph-start 'safe-local-variable 'stringp) (put 'paragraph-separate 'safe-local-variable 'stringp) @@ -20218,7 +20413,7 @@ See the command `outline-mode' for more information on this mode. ;;;*** -;;;### (autoloads (show-paren-mode) "paren" "paren.el" (18088 55087)) +;;;### (autoloads (show-paren-mode) "paren" "paren.el" (18307 57856)) ;;; Generated autoloads from paren.el (defvar show-paren-mode nil "\ @@ -20243,7 +20438,7 @@ in `show-paren-style' after `show-paren-delay' seconds of Emacs idle time. ;;;*** ;;;### (autoloads (parse-time-string) "parse-time" "calendar/parse-time.el" -;;;;;; (18088 55094)) +;;;;;; (18307 57860)) ;;; Generated autoloads from calendar/parse-time.el (autoload 'parse-time-string "parse-time" "\ @@ -20255,8 +20450,8 @@ unknown are returned as nil. ;;;*** -;;;### (autoloads (pascal-mode) "pascal" "progmodes/pascal.el" (18088 -;;;;;; 55117)) +;;;### (autoloads (pascal-mode) "pascal" "progmodes/pascal.el" (18307 +;;;;;; 57871)) ;;; Generated autoloads from progmodes/pascal.el (autoload 'pascal-mode "pascal" "\ @@ -20309,7 +20504,7 @@ no args, if that value is non-nil. ;;;*** ;;;### (autoloads (pc-bindings-mode) "pc-mode" "emulation/pc-mode.el" -;;;;;; (18088 55097)) +;;;;;; (18307 57862)) ;;; Generated autoloads from emulation/pc-mode.el (autoload 'pc-bindings-mode "pc-mode" "\ @@ -20326,8 +20521,8 @@ C-Escape does list-buffers. ;;;*** -;;;### (autoloads (pc-selection-mode pc-selection-mode) "pc-select" -;;;;;; "emulation/pc-select.el" (18210 30826)) +;;;### (autoloads (pc-selection-mode) "pc-select" "emulation/pc-select.el" +;;;;;; (18307 57862)) ;;; Generated autoloads from emulation/pc-select.el (defvar pc-selection-mode nil "\ @@ -20391,20 +20586,10 @@ but before calling PC Selection mode): \(fn &optional ARG)" t nil) -(defvar pc-selection-mode nil "\ -Toggle PC Selection mode. -Change mark behavior to emulate Motif, MAC or MS-Windows cut and paste style, -and cursor movement commands. -This mode enables Delete Selection mode and Transient Mark mode. -Setting this variable directly does not take effect; -you must modify it using \\[customize] or \\[pc-selection-mode].") - -(custom-autoload 'pc-selection-mode "pc-select" nil) - ;;;*** -;;;### (autoloads (pcomplete/cvs) "pcmpl-cvs" "pcmpl-cvs.el" (18088 -;;;;;; 55087)) +;;;### (autoloads (pcomplete/cvs) "pcmpl-cvs" "pcmpl-cvs.el" (18307 +;;;;;; 57856)) ;;; Generated autoloads from pcmpl-cvs.el (autoload 'pcomplete/cvs "pcmpl-cvs" "\ @@ -20415,7 +20600,7 @@ Completion rules for the `cvs' command. ;;;*** ;;;### (autoloads (pcomplete/tar pcomplete/make pcomplete/bzip2 pcomplete/gzip) -;;;;;; "pcmpl-gnu" "pcmpl-gnu.el" (18088 55087)) +;;;;;; "pcmpl-gnu" "pcmpl-gnu.el" (18307 57856)) ;;; Generated autoloads from pcmpl-gnu.el (autoload 'pcomplete/gzip "pcmpl-gnu" "\ @@ -20443,7 +20628,7 @@ Completion for the GNU tar utility. ;;;*** ;;;### (autoloads (pcomplete/mount pcomplete/umount pcomplete/kill) -;;;;;; "pcmpl-linux" "pcmpl-linux.el" (18088 55087)) +;;;;;; "pcmpl-linux" "pcmpl-linux.el" (18307 57856)) ;;; Generated autoloads from pcmpl-linux.el (autoload 'pcomplete/kill "pcmpl-linux" "\ @@ -20463,8 +20648,8 @@ Completion for GNU/Linux `mount'. ;;;*** -;;;### (autoloads (pcomplete/rpm) "pcmpl-rpm" "pcmpl-rpm.el" (18088 -;;;;;; 55087)) +;;;### (autoloads (pcomplete/rpm) "pcmpl-rpm" "pcmpl-rpm.el" (18307 +;;;;;; 57856)) ;;; Generated autoloads from pcmpl-rpm.el (autoload 'pcomplete/rpm "pcmpl-rpm" "\ @@ -20480,7 +20665,7 @@ You can use \\[eshell-report-bug] to do so. ;;;### (autoloads (pcomplete/chgrp pcomplete/chown pcomplete/which ;;;;;; pcomplete/xargs pcomplete/rm pcomplete/rmdir pcomplete/cd) -;;;;;; "pcmpl-unix" "pcmpl-unix.el" (18088 55087)) +;;;;;; "pcmpl-unix" "pcmpl-unix.el" (18307 57856)) ;;; Generated autoloads from pcmpl-unix.el (autoload 'pcomplete/cd "pcmpl-unix" "\ @@ -20526,8 +20711,8 @@ Completion for the `chgrp' command. ;;;### (autoloads (pcomplete-shell-setup pcomplete-comint-setup pcomplete-list ;;;;;; pcomplete-help pcomplete-expand pcomplete-continue pcomplete-expand-and-complete -;;;;;; pcomplete-reverse pcomplete) "pcomplete" "pcomplete.el" (18088 -;;;;;; 55087)) +;;;;;; pcomplete-reverse pcomplete) "pcomplete" "pcomplete.el" (18307 +;;;;;; 57856)) ;;; Generated autoloads from pcomplete.el (autoload 'pcomplete "pcomplete" "\ @@ -20586,7 +20771,7 @@ Setup shell-mode to use pcomplete. ;;;### (autoloads (cvs-dired-use-hook cvs-dired-action cvs-status ;;;;;; cvs-update cvs-examine cvs-quickdir cvs-checkout) "pcvs" -;;;;;; "pcvs.el" (18190 46607)) +;;;;;; "pcvs.el" (18317 25035)) ;;; Generated autoloads from pcvs.el (autoload 'cvs-checkout "pcvs" "\ @@ -20663,7 +20848,7 @@ The exact behavior is determined also by `cvs-dired-use-hook'." (when (stringp d ;;;*** -;;;### (autoloads nil "pcvs-defs" "pcvs-defs.el" (18187 36839)) +;;;### (autoloads nil "pcvs-defs" "pcvs-defs.el" (18307 57856)) ;;; Generated autoloads from pcvs-defs.el (defvar cvs-global-menu (let ((m (make-sparse-keymap "PCL-CVS"))) (define-key m [status] '(menu-item "Directory Status" cvs-status :help "A more verbose status of a workarea")) (define-key m [checkout] '(menu-item "Checkout Module" cvs-checkout :help "Check out a module from the repository")) (define-key m [update] '(menu-item "Update Directory" cvs-update :help "Fetch updates from the repository")) (define-key m [examine] '(menu-item "Examine Directory" cvs-examine :help "Examine the current state of a workarea")) (fset 'cvs-global-menu m))) @@ -20671,9 +20856,14 @@ The exact behavior is determined also by `cvs-dired-use-hook'." (when (stringp d ;;;*** ;;;### (autoloads (perl-mode) "perl-mode" "progmodes/perl-mode.el" -;;;;;; (18128 36990)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/perl-mode.el (put 'perl-indent-level 'safe-local-variable 'integerp) +(put 'perl-continued-statement-offset 'safe-local-variable 'integerp) +(put 'perl-continued-brace-offset 'safe-local-variable 'integerp) +(put 'perl-brace-offset 'safe-local-variable 'integerp) +(put 'perl-brace-imaginary-offset 'safe-local-variable 'integerp) +(put 'perl-label-offset 'safe-local-variable 'integerp) (autoload 'perl-mode "perl-mode" "\ Major mode for editing Perl code. @@ -20730,7 +20920,7 @@ Turning on Perl mode runs the normal hook `perl-mode-hook'. ;;;### (autoloads (pgg-snarf-keys pgg-snarf-keys-region pgg-insert-key ;;;;;; pgg-verify pgg-verify-region pgg-sign pgg-sign-region pgg-decrypt ;;;;;; pgg-decrypt-region pgg-encrypt pgg-encrypt-symmetric pgg-encrypt-symmetric-region -;;;;;; pgg-encrypt-region) "pgg" "pgg.el" (18088 55088)) +;;;;;; pgg-encrypt-region) "pgg" "pgg.el" (18307 57857)) ;;; Generated autoloads from pgg.el (autoload 'pgg-encrypt-region "pgg" "\ @@ -20864,7 +21054,7 @@ Import public keys in the current buffer. ;;;*** ;;;### (autoloads (pgg-gpg-symmetric-key-p) "pgg-gpg" "pgg-gpg.el" -;;;;;; (18088 55087)) +;;;;;; (18307 57857)) ;;; Generated autoloads from pgg-gpg.el (autoload 'pgg-gpg-symmetric-key-p "pgg-gpg" "\ @@ -20875,7 +21065,7 @@ True if decoded armor MESSAGE-KEYS has symmetric encryption indicator. ;;;*** ;;;### (autoloads (picture-mode) "picture" "textmodes/picture.el" -;;;;;; (18088 55120)) +;;;;;; (18307 57874)) ;;; Generated autoloads from textmodes/picture.el (autoload 'picture-mode "picture" "\ @@ -20956,7 +21146,7 @@ they are not defaultly assigned to keys. ;;;*** ;;;### (autoloads (po-find-file-coding-system) "po" "textmodes/po.el" -;;;;;; (18088 55120)) +;;;;;; (18307 57874)) ;;; Generated autoloads from textmodes/po.el (autoload 'po-find-file-coding-system "po" "\ @@ -20967,7 +21157,7 @@ Called through `file-coding-system-alist', before the file is visited for real. ;;;*** -;;;### (autoloads (pong) "pong" "play/pong.el" (18088 55114)) +;;;### (autoloads (pong) "pong" "play/pong.el" (18307 57870)) ;;; Generated autoloads from play/pong.el (autoload 'pong "pong" "\ @@ -20984,7 +21174,7 @@ pong-mode keybindings:\\<pong-mode-map> ;;;*** ;;;### (autoloads (pp-eval-last-sexp pp-eval-expression pp pp-buffer -;;;;;; pp-to-string) "pp" "emacs-lisp/pp.el" (18098 47345)) +;;;;;; pp-to-string) "pp" "emacs-lisp/pp.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/pp.el (autoload 'pp-to-string "pp" "\ @@ -21040,7 +21230,7 @@ Ignores leading comment characters. ;;;;;; pr-ps-buffer-print pr-ps-buffer-using-ghostscript pr-ps-buffer-preview ;;;;;; pr-ps-directory-ps-print pr-ps-directory-print pr-ps-directory-using-ghostscript ;;;;;; pr-ps-directory-preview pr-interface) "printing" "printing.el" -;;;;;; (18211 32385)) +;;;;;; (18307 57857)) ;;; Generated autoloads from printing.el (autoload 'pr-interface "printing" "\ @@ -21628,7 +21818,7 @@ are both set to t. ;;;*** ;;;### (autoloads (switch-to-prolog prolog-mode) "prolog" "progmodes/prolog.el" -;;;;;; (18203 37789)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/prolog.el (autoload 'prolog-mode "prolog" "\ @@ -21651,7 +21841,7 @@ With prefix argument \\[universal-prefix], prompt for the program to use. ;;;*** -;;;### (autoloads nil "ps-bdf" "ps-bdf.el" (18088 55088)) +;;;### (autoloads nil "ps-bdf" "ps-bdf.el" (18307 57857)) ;;; Generated autoloads from ps-bdf.el (defvar bdf-directory-list (if (memq system-type '(ms-dos windows-nt)) (list (expand-file-name "fonts/bdf" installation-directory)) '("/usr/local/share/emacs/fonts/bdf")) "\ @@ -21660,8 +21850,8 @@ The default value is '(\"/usr/local/share/emacs/fonts/bdf\").") ;;;*** -;;;### (autoloads (ps-mode) "ps-mode" "progmodes/ps-mode.el" (18210 -;;;;;; 13716)) +;;;### (autoloads (ps-mode) "ps-mode" "progmodes/ps-mode.el" (18307 +;;;;;; 57871)) ;;; Generated autoloads from progmodes/ps-mode.el (autoload 'ps-mode "ps-mode" "\ @@ -21712,8 +21902,8 @@ Typing \\<ps-run-mode-map>\\[ps-run-goto-error] when the cursor is at the number ;;;;;; ps-spool-region ps-spool-buffer-with-faces ps-spool-buffer ;;;;;; ps-print-region-with-faces ps-print-region ps-print-buffer-with-faces ;;;;;; ps-print-buffer ps-print-customize ps-print-color-p ps-paper-type -;;;;;; ps-page-dimensions-database) "ps-print" "ps-print.el" (18214 -;;;;;; 4479)) +;;;;;; ps-page-dimensions-database) "ps-print" "ps-print.el" (18309 +;;;;;; 34405)) ;;; Generated autoloads from ps-print.el (defvar ps-page-dimensions-database (list (list 'a4 (/ (* 72 21.0) 2.54) (/ (* 72 29.7) 2.54) "A4") (list 'a3 (/ (* 72 29.7) 2.54) (/ (* 72 42.0) 2.54) "A3") (list 'letter (* 72 8.5) (* 72 11.0) "Letter") (list 'legal (* 72 8.5) (* 72 14.0) "Legal") (list 'letter-small (* 72 7.68) (* 72 10.16) "LetterSmall") (list 'tabloid (* 72 11.0) (* 72 17.0) "Tabloid") (list 'ledger (* 72 17.0) (* 72 11.0) "Ledger") (list 'statement (* 72 5.5) (* 72 8.5) "Statement") (list 'executive (* 72 7.5) (* 72 10.0) "Executive") (list 'a4small (* 72 7.47) (* 72 10.85) "A4Small") (list 'b4 (* 72 10.125) (* 72 14.33) "B4") (list 'b5 (* 72 7.16) (* 72 10.125) "B5")) "\ @@ -21910,7 +22100,7 @@ If EXTENSION is any other symbol, it is ignored. ;;;*** ;;;### (autoloads (jython-mode python-mode run-python) "python" "progmodes/python.el" -;;;;;; (18208 48754)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/python.el (add-to-list 'interpreter-mode-alist '("jython" . jython-mode)) @@ -21985,7 +22175,7 @@ Runs `jython-mode-hook' after `python-mode-hook'. ;;;*** ;;;### (autoloads (quoted-printable-decode-region) "qp" "gnus/qp.el" -;;;;;; (18212 46007)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/qp.el (autoload 'quoted-printable-decode-region "qp" "\ @@ -22008,7 +22198,7 @@ them into characters should be done separately. ;;;;;; quail-defrule quail-install-decode-map quail-install-map ;;;;;; quail-define-rules quail-show-keyboard-layout quail-set-keyboard-layout ;;;;;; quail-define-package quail-use-package quail-title) "quail" -;;;;;; "international/quail.el" (18120 34751)) +;;;;;; "international/quail.el" (18307 57867)) ;;; Generated autoloads from international/quail.el (autoload 'quail-title "quail" "\ @@ -22239,8 +22429,8 @@ of each directory. ;;;### (autoloads (quickurl-list quickurl-list-mode quickurl-edit-urls ;;;;;; quickurl-browse-url-ask quickurl-browse-url quickurl-add-url -;;;;;; quickurl-ask quickurl) "quickurl" "net/quickurl.el" (18088 -;;;;;; 55112)) +;;;;;; quickurl-ask quickurl) "quickurl" "net/quickurl.el" (18307 +;;;;;; 57870)) ;;; Generated autoloads from net/quickurl.el (defconst quickurl-reread-hook-postfix "\n;; Local Variables:\n;; eval: (progn (require 'quickurl) (add-hook 'local-write-file-hooks (lambda () (quickurl-read) nil)))\n;; End:\n" "\ @@ -22312,7 +22502,7 @@ Display `quickurl-list' as a formatted list using `quickurl-list-mode'. ;;;*** ;;;### (autoloads (rcirc-track-minor-mode rcirc-connect rcirc) "rcirc" -;;;;;; "net/rcirc.el" (18213 14317)) +;;;;;; "net/rcirc.el" (18307 57870)) ;;; Generated autoloads from net/rcirc.el (autoload 'rcirc "rcirc" "\ @@ -22347,8 +22537,8 @@ Global minor mode for tracking activity in rcirc buffers. ;;;*** -;;;### (autoloads (remote-compile) "rcompile" "net/rcompile.el" (18088 -;;;;;; 55112)) +;;;### (autoloads (remote-compile) "rcompile" "net/rcompile.el" (18307 +;;;;;; 57870)) ;;; Generated autoloads from net/rcompile.el (autoload 'remote-compile "rcompile" "\ @@ -22360,7 +22550,7 @@ See \\[compile]. ;;;*** ;;;### (autoloads (re-builder) "re-builder" "emacs-lisp/re-builder.el" -;;;;;; (18173 8195)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/re-builder.el (defalias 'regexp-builder 're-builder) @@ -22372,7 +22562,7 @@ Construct a regexp interactively. ;;;*** -;;;### (autoloads (recentf-mode) "recentf" "recentf.el" (18161 20994)) +;;;### (autoloads (recentf-mode) "recentf" "recentf.el" (18307 57858)) ;;; Generated autoloads from recentf.el (defvar recentf-mode nil "\ @@ -22399,8 +22589,8 @@ that were operated on recently. ;;;### (autoloads (clear-rectangle string-insert-rectangle string-rectangle ;;;;;; delete-whitespace-rectangle open-rectangle insert-rectangle ;;;;;; yank-rectangle kill-rectangle extract-rectangle delete-extract-rectangle -;;;;;; delete-rectangle move-to-column-force) "rect" "rect.el" (18088 -;;;;;; 55088)) +;;;;;; delete-rectangle move-to-column-force) "rect" "rect.el" (18307 +;;;;;; 57858)) ;;; Generated autoloads from rect.el (autoload 'move-to-column-force "rect" "\ @@ -22528,8 +22718,8 @@ rectangle which were empty. ;;;*** -;;;### (autoloads (refill-mode) "refill" "textmodes/refill.el" (18120 -;;;;;; 34753)) +;;;### (autoloads (refill-mode) "refill" "textmodes/refill.el" (18307 +;;;;;; 57874)) ;;; Generated autoloads from textmodes/refill.el (autoload 'refill-mode "refill" "\ @@ -22545,7 +22735,7 @@ refilling if they would cause auto-filling. ;;;*** ;;;### (autoloads (reftex-reset-scanning-information reftex-mode -;;;;;; turn-on-reftex) "reftex" "textmodes/reftex.el" (18203 37790)) +;;;;;; turn-on-reftex) "reftex" "textmodes/reftex.el" (18307 57874)) ;;; Generated autoloads from textmodes/reftex.el (autoload 'turn-on-reftex "reftex" "\ @@ -22595,7 +22785,7 @@ This enforces rescanning the buffer on next use. ;;;*** ;;;### (autoloads (reftex-citation) "reftex-cite" "textmodes/reftex-cite.el" -;;;;;; (18191 7159)) +;;;;;; (18307 57874)) ;;; Generated autoloads from textmodes/reftex-cite.el (autoload 'reftex-citation "reftex-cite" "\ @@ -22625,7 +22815,7 @@ While entering the regexp, completion on knows citation keys is possible. ;;;*** ;;;### (autoloads (reftex-isearch-minor-mode) "reftex-global" "textmodes/reftex-global.el" -;;;;;; (18120 34753)) +;;;;;; (18307 57874)) ;;; Generated autoloads from textmodes/reftex-global.el (autoload 'reftex-isearch-minor-mode "reftex-global" "\ @@ -22642,7 +22832,7 @@ With no argument, this command toggles ;;;*** ;;;### (autoloads (reftex-index-phrases-mode) "reftex-index" "textmodes/reftex-index.el" -;;;;;; (18088 55120)) +;;;;;; (18307 57874)) ;;; Generated autoloads from textmodes/reftex-index.el (autoload 'reftex-index-phrases-mode "reftex-index" "\ @@ -22675,7 +22865,7 @@ Here are all local bindings. ;;;*** ;;;### (autoloads (reftex-all-document-files) "reftex-parse" "textmodes/reftex-parse.el" -;;;;;; (18088 55120)) +;;;;;; (18307 57874)) ;;; Generated autoloads from textmodes/reftex-parse.el (autoload 'reftex-all-document-files "reftex-parse" "\ @@ -22687,8 +22877,8 @@ of master file. ;;;*** -;;;### (autoloads nil "reftex-vars" "textmodes/reftex-vars.el" (18088 -;;;;;; 55120)) +;;;### (autoloads nil "reftex-vars" "textmodes/reftex-vars.el" (18307 +;;;;;; 57874)) ;;; Generated autoloads from textmodes/reftex-vars.el (put 'reftex-vref-is-default 'safe-local-variable (lambda (x) (or (stringp x) (symbolp x)))) (put 'reftex-fref-is-default 'safe-local-variable (lambda (x) (or (stringp x) (symbolp x)))) @@ -22698,7 +22888,7 @@ of master file. ;;;*** ;;;### (autoloads (regexp-opt-depth regexp-opt) "regexp-opt" "emacs-lisp/regexp-opt.el" -;;;;;; (18088 55096)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/regexp-opt.el (autoload 'regexp-opt "regexp-opt" "\ @@ -22725,7 +22915,40 @@ This means the number of non-shy regexp grouping constructs ;;;*** -;;;### (autoloads (repeat) "repeat" "repeat.el" (18187 36839)) +;;;### (autoloads (remember-diary-extract-entries remember-clipboard +;;;;;; remember-other-frame remember) "remember" "textmodes/remember.el" +;;;;;; (18308 19808)) +;;; Generated autoloads from textmodes/remember.el + +(autoload 'remember "remember" "\ +Remember an arbitrary piece of data. +INITIAL is the text to initially place in the *Remember* buffer, +or nil to bring up a blank *Remember* buffer. + +With a prefix or a visible region, use the region as INITIAL. + +\(fn &optional INITIAL)" t nil) + +(autoload 'remember-other-frame "remember" "\ +Call `remember' in another frame. + +\(fn &optional INITIAL)" t nil) + +(autoload 'remember-clipboard "remember" "\ +Remember the contents of the current clipboard. +Most useful for remembering things from Netscape or other X Windows +application. + +\(fn)" t nil) + +(autoload 'remember-diary-extract-entries "remember" "\ +Extract diary entries from the region. + +\(fn)" nil nil) + +;;;*** + +;;;### (autoloads (repeat) "repeat" "repeat.el" (18307 57859)) ;;; Generated autoloads from repeat.el (autoload 'repeat "repeat" "\ @@ -22748,7 +22971,7 @@ recently executed command not bound to an input event\". ;;;*** ;;;### (autoloads (reporter-submit-bug-report) "reporter" "mail/reporter.el" -;;;;;; (18192 17587)) +;;;;;; (18307 57869)) ;;; Generated autoloads from mail/reporter.el (autoload 'reporter-submit-bug-report "reporter" "\ @@ -22780,7 +23003,7 @@ mail-sending package is used for editing and sending the message. ;;;*** ;;;### (autoloads (reposition-window) "reposition" "reposition.el" -;;;;;; (18088 55088)) +;;;;;; (18307 57859)) ;;; Generated autoloads from reposition.el (autoload 'reposition-window "reposition" "\ @@ -22803,12 +23026,11 @@ comments, including the first comment line, are visible), or to make the first comment line visible (if point is in a comment). \(fn &optional ARG)" t nil) - (define-key esc-map "\C-l" 'reposition-window) ;;;*** -;;;### (autoloads (resume-suspend-hook) "resume" "resume.el" (18088 -;;;;;; 55088)) +;;;### (autoloads (resume-suspend-hook) "resume" "resume.el" (18307 +;;;;;; 57859)) ;;; Generated autoloads from resume.el (autoload 'resume-suspend-hook "resume" "\ @@ -22819,7 +23041,7 @@ Clear out the file used for transmitting args when Emacs resumes. ;;;*** ;;;### (autoloads (global-reveal-mode reveal-mode) "reveal" "reveal.el" -;;;;;; (18088 55088)) +;;;;;; (18307 57859)) ;;; Generated autoloads from reveal.el (autoload 'reveal-mode "reveal" "\ @@ -22854,7 +23076,7 @@ With zero or negative ARG turn mode off. ;;;*** ;;;### (autoloads (make-ring ring-p) "ring" "emacs-lisp/ring.el" -;;;;;; (18197 21672)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/ring.el (autoload 'ring-p "ring" "\ @@ -22869,7 +23091,7 @@ Make a ring that can contain SIZE elements. ;;;*** -;;;### (autoloads (rlogin) "rlogin" "net/rlogin.el" (18088 55112)) +;;;### (autoloads (rlogin) "rlogin" "net/rlogin.el" (18307 57870)) ;;; Generated autoloads from net/rlogin.el (add-hook 'same-window-regexps "^\\*rlogin-.*\\*\\(\\|<[0-9]+>\\)") @@ -22920,8 +23142,8 @@ variable. ;;;;;; rmail-mail-new-frame rmail-primary-inbox-list rmail-delete-after-output ;;;;;; rmail-highlight-face rmail-highlighted-headers rmail-retry-ignored-headers ;;;;;; rmail-displayed-headers rmail-ignored-headers rmail-dont-reply-to-names -;;;;;; rmail-movemail-variant-p) "rmail" "mail/rmail.el" (18191 -;;;;;; 7159)) +;;;;;; rmail-movemail-variant-p) "rmail" "mail/rmail.el" (18314 +;;;;;; 32066)) ;;; Generated autoloads from mail/rmail.el (autoload 'rmail-movemail-variant-p "rmail" "\ @@ -23186,7 +23408,7 @@ Set PASSWORD to be used for retrieving mail from a POP or IMAP server. ;;;*** ;;;### (autoloads (rmail-edit-current-message) "rmailedit" "mail/rmailedit.el" -;;;;;; (18088 55110)) +;;;;;; (18307 57869)) ;;; Generated autoloads from mail/rmailedit.el (autoload 'rmail-edit-current-message "rmailedit" "\ @@ -23198,7 +23420,7 @@ Edit the contents of this message. ;;;### (autoloads (rmail-next-labeled-message rmail-previous-labeled-message ;;;;;; rmail-read-label rmail-kill-label rmail-add-label) "rmailkwd" -;;;;;; "mail/rmailkwd.el" (18088 55110)) +;;;;;; "mail/rmailkwd.el" (18307 57869)) ;;; Generated autoloads from mail/rmailkwd.el (autoload 'rmail-add-label "rmailkwd" "\ @@ -23237,7 +23459,7 @@ With prefix argument N moves forward N messages with these labels. ;;;*** ;;;### (autoloads (set-rmail-inbox-list) "rmailmsc" "mail/rmailmsc.el" -;;;;;; (18088 55110)) +;;;;;; (18307 57869)) ;;; Generated autoloads from mail/rmailmsc.el (autoload 'set-rmail-inbox-list "rmailmsc" "\ @@ -23251,7 +23473,7 @@ If FILE-NAME is empty, remove any existing inbox list. ;;;### (autoloads (rmail-output-body-to-file rmail-output rmail-fields-not-to-output ;;;;;; rmail-output-to-rmail-file rmail-output-file-alist) "rmailout" -;;;;;; "mail/rmailout.el" (18088 55110)) +;;;;;; "mail/rmailout.el" (18307 57869)) ;;; Generated autoloads from mail/rmailout.el (defvar rmail-output-file-alist nil "\ @@ -23318,8 +23540,8 @@ FILE-NAME defaults, interactively, from the Subject field of the message. ;;;### (autoloads (rmail-sort-by-labels rmail-sort-by-lines rmail-sort-by-correspondent ;;;;;; rmail-sort-by-recipient rmail-sort-by-author rmail-sort-by-subject -;;;;;; rmail-sort-by-date) "rmailsort" "mail/rmailsort.el" (18088 -;;;;;; 55110)) +;;;;;; rmail-sort-by-date) "rmailsort" "mail/rmailsort.el" (18307 +;;;;;; 57869)) ;;; Generated autoloads from mail/rmailsort.el (autoload 'rmail-sort-by-date "rmailsort" "\ @@ -23371,7 +23593,7 @@ KEYWORDS is a comma-separated list of labels. ;;;;;; rmail-summary-by-senders rmail-summary-by-topic rmail-summary-by-regexp ;;;;;; rmail-summary-by-recipients rmail-summary-by-labels rmail-summary ;;;;;; rmail-summary-line-count-flag rmail-summary-scroll-between-messages) -;;;;;; "rmailsum" "mail/rmailsum.el" (18101 9759)) +;;;;;; "rmailsum" "mail/rmailsum.el" (18307 57869)) ;;; Generated autoloads from mail/rmailsum.el (defvar rmail-summary-scroll-between-messages t "\ @@ -23452,8 +23674,91 @@ Setting this variable has an effect only before reading a mail.") ;;;*** +;;;### (autoloads (rng-c-load-schema) "rng-cmpct" "nxml/rng-cmpct.el" +;;;;;; (18308 19808)) +;;; Generated autoloads from nxml/rng-cmpct.el + +(autoload 'rng-c-load-schema "rng-cmpct" "\ +Load a schema in RELAX NG compact syntax from FILENAME. +Return a pattern. + +\(fn FILENAME)" nil nil) + +;;;*** + +;;;### (autoloads (rng-nxml-mode-init) "rng-nxml" "nxml/rng-nxml.el" +;;;;;; (18312 40673)) +;;; Generated autoloads from nxml/rng-nxml.el + +(autoload 'rng-nxml-mode-init "rng-nxml" "\ +Initialize `nxml-mode' to take advantage of `rng-validate-mode'. +This is typically called from `nxml-mode-hook'. +Validation will be enabled if `rng-nxml-auto-validate-flag' is non-nil. + +\(fn)" t nil) + +;;;*** + +;;;### (autoloads (rng-validate-mode) "rng-valid" "nxml/rng-valid.el" +;;;;;; (18308 19808)) +;;; Generated autoloads from nxml/rng-valid.el + +(autoload 'rng-validate-mode "rng-valid" "\ +Minor mode performing continual validation against a RELAX NG schema. + +Checks whether the buffer is a well-formed XML 1.0 document, +conforming to the XML Namespaces Recommendation and valid against a +RELAX NG schema. The mode-line indicates whether it is or not. Any +parts of the buffer that cause it not to be are considered errors and +are highlighted with face `rng-error'. A description of each error is +available as a tooltip. \\[rng-next-error] goes to the next error +after point. Clicking mouse-1 on the word `Invalid' in the mode-line +goes to the first error in the buffer. If the buffer changes, then it +will be automatically rechecked when Emacs becomes idle; the +rechecking will be paused whenever there is input pending.. + +By default, uses a vacuous schema that allows any well-formed XML +document. A schema can be specified explictly using +\\[rng-set-schema-file-and-validate], or implicitly based on the buffer's +file name or on the root element name. In each case the schema must +be a RELAX NG schema using the compact schema (such schemas +conventionally have a suffix of `.rnc'). The variable +`rng-schema-locating-files' specifies files containing rules +to use for finding the schema. + +\(fn &optional ARG NO-CHANGE-SCHEMA)" t nil) + +;;;*** + +;;;### (autoloads (rng-xsd-compile) "rng-xsd" "nxml/rng-xsd.el" (18308 +;;;;;; 19808)) +;;; Generated autoloads from nxml/rng-xsd.el + +(put 'http://www\.w3\.org/2001/XMLSchema-datatypes 'rng-dt-compile 'rng-xsd-compile) + +(autoload 'rng-xsd-compile "rng-xsd" "\ +Provides W3C XML Schema as a RELAX NG datatypes library. NAME is a +symbol giving the local name of the datatype. PARAMS is a list of +pairs (PARAM-NAME . PARAM-VALUE) where PARAM-NAME is a symbol giving +the name of the parameter and PARAM-VALUE is a string giving its +value. If NAME or PARAMS are invalid, it calls rng-dt-error passing +it arguments in the same style as format; the value from rng-dt-error +will be returned. Otherwise, it returns a list. The first member of +the list is t if any string is a legal value for the datatype and nil +otherwise. The second argument is a symbol; this symbol will be +called as a function passing it a string followed by the remaining +members of the list. The function must return an object representing +the value of the datatype that was represented by the string, or nil +if the string is not a representation of any value. The object +returned can be any convenient non-nil value, provided that, if two +strings represent the same value, the returned objects must be equal. + +\(fn NAME PARAMS)" nil nil) + +;;;*** + ;;;### (autoloads (toggle-rot13-mode rot13-other-window rot13-region -;;;;;; rot13-string rot13) "rot13" "rot13.el" (18088 55088)) +;;;;;; rot13-string rot13) "rot13" "rot13.el" (18307 57859)) ;;; Generated autoloads from rot13.el (autoload 'rot13 "rot13" "\ @@ -23490,8 +23795,8 @@ Toggle the use of ROT13 encoding for the current window. ;;;*** -;;;### (autoloads (ruler-mode) "ruler-mode" "ruler-mode.el" (18088 -;;;;;; 55088)) +;;;### (autoloads (ruler-mode) "ruler-mode" "ruler-mode.el" (18307 +;;;;;; 57859)) ;;; Generated autoloads from ruler-mode.el (autoload 'ruler-mode "ruler-mode" "\ @@ -23501,8 +23806,8 @@ Display a ruler in the header line if ARG > 0. ;;;*** -;;;### (autoloads (rx rx-to-string) "rx" "emacs-lisp/rx.el" (18142 -;;;;;; 63529)) +;;;### (autoloads (rx rx-to-string) "rx" "emacs-lisp/rx.el" (18307 +;;;;;; 57861)) ;;; Generated autoloads from emacs-lisp/rx.el (autoload 'rx-to-string "rx" "\ @@ -23815,7 +24120,7 @@ enclosed in `(and ...)'. ;;;*** ;;;### (autoloads (savehist-mode savehist-mode) "savehist" "savehist.el" -;;;;;; (18211 32385)) +;;;;;; (18301 36271)) ;;; Generated autoloads from savehist.el (defvar savehist-mode nil "\ @@ -23841,7 +24146,7 @@ which is probably undesirable. ;;;*** ;;;### (autoloads (dsssl-mode scheme-mode) "scheme" "progmodes/scheme.el" -;;;;;; (18120 34753)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/scheme.el (autoload 'scheme-mode "scheme" "\ @@ -23883,7 +24188,7 @@ that variable's value is a string. ;;;*** ;;;### (autoloads (gnus-score-mode) "score-mode" "gnus/score-mode.el" -;;;;;; (18212 46007)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/score-mode.el (autoload 'gnus-score-mode "score-mode" "\ @@ -23897,7 +24202,7 @@ This mode is an extended emacs-lisp mode. ;;;*** ;;;### (autoloads (scroll-all-mode) "scroll-all" "scroll-all.el" -;;;;;; (18088 55088)) +;;;;;; (18307 57859)) ;;; Generated autoloads from scroll-all.el (defvar scroll-all-mode nil "\ @@ -23920,7 +24225,7 @@ apply to all visible windows in the same frame. ;;;*** ;;;### (autoloads (scroll-lock-mode) "scroll-lock" "scroll-lock.el" -;;;;;; (18202 3996)) +;;;;;; (18307 57859)) ;;; Generated autoloads from scroll-lock.el (autoload 'scroll-lock-mode "scroll-lock" "\ @@ -23942,7 +24247,7 @@ during scrolling. ;;;;;; mail-alias-file mail-default-reply-to mail-archive-file-name ;;;;;; mail-header-separator send-mail-function mail-interactive ;;;;;; mail-self-blind mail-specify-envelope-from mail-from-style) -;;;;;; "sendmail" "mail/sendmail.el" (18197 21674)) +;;;;;; "sendmail" "mail/sendmail.el" (18307 57869)) ;;; Generated autoloads from mail/sendmail.el (defvar mail-from-style 'angles "\ @@ -24243,7 +24548,7 @@ Like `mail' command, but display mail buffer in another frame. ;;;*** ;;;### (autoloads (server-save-buffers-kill-terminal server-mode -;;;;;; server-start) "server" "server.el" (18213 13926)) +;;;;;; server-start) "server" "server.el" (18301 36271)) ;;; Generated autoloads from server.el (autoload 'server-start "server" "\ @@ -24287,7 +24592,7 @@ only these files will be asked to be saved. ;;;*** -;;;### (autoloads (ses-mode) "ses" "ses.el" (18209 8664)) +;;;### (autoloads (ses-mode) "ses" "ses.el" (18307 57859)) ;;; Generated autoloads from ses.el (autoload 'ses-mode "ses" "\ @@ -24306,7 +24611,7 @@ These are active only in the minibuffer, when entering or editing a formula: ;;;*** ;;;### (autoloads (html-mode sgml-mode) "sgml-mode" "textmodes/sgml-mode.el" -;;;;;; (18120 34753)) +;;;;;; (18309 34405)) ;;; Generated autoloads from textmodes/sgml-mode.el (autoload 'sgml-mode "sgml-mode" "\ @@ -24374,7 +24679,7 @@ To work around that, do: ;;;*** ;;;### (autoloads (sh-mode) "sh-script" "progmodes/sh-script.el" -;;;;;; (18173 8196)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/sh-script.el (put 'sh-shell 'safe-local-variable 'symbolp) @@ -24438,8 +24743,8 @@ with your script for an edit-interpret-debug cycle. ;;;*** -;;;### (autoloads (sha1) "sha1" "gnus/sha1.el" (18130 62048)) -;;; Generated autoloads from gnus/sha1.el +;;;### (autoloads (sha1) "sha1" "sha1.el" (18307 57859)) +;;; Generated autoloads from sha1.el (autoload 'sha1 "sha1" "\ Return the SHA1 (Secure Hash Algorithm) of an object. @@ -24453,7 +24758,7 @@ If BINARY is non-nil, return a string in binary form. ;;;*** ;;;### (autoloads (list-load-path-shadows) "shadow" "emacs-lisp/shadow.el" -;;;;;; (18088 55096)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/shadow.el (autoload 'list-load-path-shadows "shadow" "\ @@ -24500,8 +24805,8 @@ buffer called `*Shadows*'. Shadowings are located by calling the ;;;*** ;;;### (autoloads (shadow-initialize shadow-define-regexp-group shadow-define-literal-group -;;;;;; shadow-define-cluster) "shadowfile" "shadowfile.el" (18120 -;;;;;; 34749)) +;;;;;; shadow-define-cluster) "shadowfile" "shadowfile.el" (18307 +;;;;;; 57859)) ;;; Generated autoloads from shadowfile.el (autoload 'shadow-define-cluster "shadowfile" "\ @@ -24509,8 +24814,8 @@ Edit (or create) the definition of a cluster NAME. This is a group of hosts that share directories, so that copying to or from one of them is sufficient to update the file on all of them. Clusters are defined by a name, the network address of a primary host (the one we copy -files to), and a regular expression that matches the hostnames of all the sites -in the cluster. +files to), and a regular expression that matches the hostnames of all the +sites in the cluster. \(fn NAME)" t nil) @@ -24526,8 +24831,8 @@ specific hostnames, or names of clusters (see `shadow-define-cluster'). Make each of a group of files be shared between hosts. Prompts for regular expression; files matching this are shared between a list of sites, which are also prompted for. The filenames must be identical on all -hosts (if they aren't, use `shadow-define-literal-group' instead of this function). -Each site can be either a hostname or the name of a cluster (see +hosts (if they aren't, use `shadow-define-literal-group' instead of this +function). Each site can be either a hostname or the name of a cluster (see `shadow-define-cluster'). \(fn)" t nil) @@ -24540,7 +24845,7 @@ Set up file shadowing. ;;;*** ;;;### (autoloads (shell shell-dumb-shell-regexp) "shell" "shell.el" -;;;;;; (18212 46004)) +;;;;;; (18307 57859)) ;;; Generated autoloads from shell.el (defvar shell-dumb-shell-regexp "cmd\\(proxy\\)?\\.exe" "\ @@ -24590,7 +24895,7 @@ Otherwise, one argument `-i' is passed to the shell. ;;;*** ;;;### (autoloads (sieve-upload-and-bury sieve-upload sieve-manage) -;;;;;; "sieve" "gnus/sieve.el" (18212 46007)) +;;;;;; "sieve" "gnus/sieve.el" (18307 57866)) ;;; Generated autoloads from gnus/sieve.el (autoload 'sieve-manage "sieve" "\ @@ -24611,7 +24916,7 @@ Not documented ;;;*** ;;;### (autoloads (sieve-mode) "sieve-mode" "gnus/sieve-mode.el" -;;;;;; (18212 46007)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/sieve-mode.el (autoload 'sieve-mode "sieve-mode" "\ @@ -24626,14 +24931,14 @@ Turning on Sieve mode runs `sieve-mode-hook'. ;;;*** -;;;### (autoloads nil "simple" "simple.el" (18208 48751)) +;;;### (autoloads nil "simple" "simple.el" (18307 57859)) ;;; Generated autoloads from simple.el (put 'fill-prefix 'safe-local-variable 'string-or-null-p) ;;;*** -;;;### (autoloads (simula-mode) "simula" "progmodes/simula.el" (18088 -;;;;;; 55117)) +;;;### (autoloads (simula-mode) "simula" "progmodes/simula.el" (18307 +;;;;;; 57871)) ;;; Generated autoloads from progmodes/simula.el (autoload 'simula-mode "simula" "\ @@ -24682,7 +24987,7 @@ with no arguments, if that value is non-nil. ;;;*** ;;;### (autoloads (skeleton-pair-insert-maybe skeleton-insert skeleton-proxy-new -;;;;;; define-skeleton) "skeleton" "skeleton.el" (18120 34749)) +;;;;;; define-skeleton) "skeleton" "skeleton.el" (18307 57859)) ;;; Generated autoloads from skeleton.el (defvar skeleton-filter-function 'identity "\ @@ -24791,8 +25096,8 @@ symmetrical ones, and the same character twice for the others. ;;;*** -;;;### (autoloads (smerge-mode smerge-ediff) "smerge-mode" "smerge-mode.el" -;;;;;; (18202 3996)) +;;;### (autoloads (smerge-auto smerge-mode smerge-ediff) "smerge-mode" +;;;;;; "smerge-mode.el" (18317 11736)) ;;; Generated autoloads from smerge-mode.el (autoload 'smerge-ediff "smerge-mode" "\ @@ -24808,10 +25113,16 @@ Minor mode to simplify editing output from the diff3 program. \(fn &optional ARG)" t nil) +(autoload 'smerge-auto "smerge-mode" "\ +Turn on `smerge-mode' and move point to first conflict marker. +If no conflict maker is found, turn off `smerge-mode'. + +\(fn)" nil nil) + ;;;*** ;;;### (autoloads (smiley-buffer smiley-region) "smiley" "gnus/smiley.el" -;;;;;; (18212 46007)) +;;;;;; (18307 57866)) ;;; Generated autoloads from gnus/smiley.el (autoload 'smiley-region "smiley" "\ @@ -24829,7 +25140,7 @@ interactively. If there's no argument, do it at the current buffer ;;;*** ;;;### (autoloads (smtpmail-send-queued-mail smtpmail-send-it) "smtpmail" -;;;;;; "mail/smtpmail.el" (18088 55110)) +;;;;;; "mail/smtpmail.el" (18307 57869)) ;;; Generated autoloads from mail/smtpmail.el (autoload 'smtpmail-send-it "smtpmail" "\ @@ -24844,7 +25155,7 @@ Send mail that was queued as a result of setting `smtpmail-queue-mail'. ;;;*** -;;;### (autoloads (snake) "snake" "play/snake.el" (18088 55114)) +;;;### (autoloads (snake) "snake" "play/snake.el" (18307 57870)) ;;; Generated autoloads from play/snake.el (autoload 'snake "snake" "\ @@ -24868,7 +25179,7 @@ Snake mode keybindings: ;;;*** ;;;### (autoloads (snmpv2-mode snmp-mode) "snmp-mode" "net/snmp-mode.el" -;;;;;; (18161 20995)) +;;;;;; (18307 57870)) ;;; Generated autoloads from net/snmp-mode.el (autoload 'snmp-mode "snmp-mode" "\ @@ -24899,7 +25210,7 @@ then `snmpv2-mode-hook'. ;;;### (autoloads (solar-equinoxes-solstices sunrise-sunset calendar-location-name ;;;;;; calendar-longitude calendar-latitude calendar-time-display-form) -;;;;;; "solar" "calendar/solar.el" (18088 55094)) +;;;;;; "solar" "calendar/solar.el" (18307 57860)) ;;; Generated autoloads from calendar/solar.el (defvar calendar-time-display-form '(12-hours ":" minutes am-pm (if time-zone " (") time-zone (if time-zone ")")) "\ @@ -24970,8 +25281,8 @@ Requires floating point. ;;;*** -;;;### (autoloads (solitaire) "solitaire" "play/solitaire.el" (18173 -;;;;;; 8195)) +;;;### (autoloads (solitaire) "solitaire" "play/solitaire.el" (18307 +;;;;;; 57870)) ;;; Generated autoloads from play/solitaire.el (autoload 'solitaire "solitaire" "\ @@ -25048,7 +25359,7 @@ Pick your favourite shortcuts: ;;;### (autoloads (reverse-region sort-columns sort-regexp-fields ;;;;;; sort-fields sort-numeric-fields sort-pages sort-paragraphs -;;;;;; sort-lines sort-subr) "sort" "sort.el" (18128 32655)) +;;;;;; sort-lines sort-subr) "sort" "sort.el" (18307 57859)) ;;; Generated autoloads from sort.el (put 'sort-fold-case 'safe-local-variable 'booleanp) @@ -25192,16 +25503,16 @@ From a program takes two point or marker arguments, BEG and END. ;;;*** -;;;### (autoloads (spam-initialize) "spam" "gnus/spam.el" (18212 -;;;;;; 46007)) +;;;### (autoloads (spam-initialize) "spam" "gnus/spam.el" (18307 +;;;;;; 57866)) ;;; Generated autoloads from gnus/spam.el (autoload 'spam-initialize "spam" "\ Install the spam.el hooks and do other initialization. When SYMBOLS is given, set those variables to t. This is so you -can call spam-initialize before you set spam-use-* variables on +can call `spam-initialize' before you set spam-use-* variables on explicitly, and matters only if you need the extra headers -installed through spam-necessary-extra-headers. +installed through `spam-necessary-extra-headers'. \(fn &rest SYMBOLS)" t nil) @@ -25209,7 +25520,7 @@ installed through spam-necessary-extra-headers. ;;;### (autoloads (spam-report-deagentize spam-report-agentize spam-report-url-to-file ;;;;;; spam-report-url-ping-mm-url spam-report-process-queue) "spam-report" -;;;;;; "gnus/spam-report.el" (18212 46007)) +;;;;;; "gnus/spam-report.el" (18307 57866)) ;;; Generated autoloads from gnus/spam-report.el (autoload 'spam-report-process-queue "spam-report" "\ @@ -25252,7 +25563,7 @@ Spam reports will be queued with the method used when ;;;*** ;;;### (autoloads (speedbar-get-focus speedbar-frame-mode) "speedbar" -;;;;;; "speedbar.el" (18164 30590)) +;;;;;; "speedbar.el" (18307 57859)) ;;; Generated autoloads from speedbar.el (defalias 'speedbar 'speedbar-frame-mode) @@ -25277,7 +25588,7 @@ selected. If the speedbar frame is active, then select the attached frame. ;;;*** ;;;### (autoloads (spell-string spell-region spell-word spell-buffer) -;;;;;; "spell" "textmodes/spell.el" (18088 55120)) +;;;;;; "spell" "textmodes/spell.el" (18307 57874)) ;;; Generated autoloads from textmodes/spell.el (put 'spell-filter 'risky-local-variable t) @@ -25313,8 +25624,8 @@ Check spelling of string supplied as argument. ;;;*** -;;;### (autoloads (snarf-spooks spook) "spook" "play/spook.el" (18088 -;;;;;; 55114)) +;;;### (autoloads (snarf-spooks spook) "spook" "play/spook.el" (18307 +;;;;;; 57870)) ;;; Generated autoloads from play/spook.el (autoload 'spook "spook" "\ @@ -25332,8 +25643,8 @@ Return a vector containing the lines from `spook-phrases-file'. ;;;### (autoloads (sql-linter sql-db2 sql-interbase sql-postgres ;;;;;; sql-ms sql-ingres sql-solid sql-mysql sql-sqlite sql-informix ;;;;;; sql-sybase sql-oracle sql-product-interactive sql-mode sql-help -;;;;;; sql-add-product-keywords) "sql" "progmodes/sql.el" (18173 -;;;;;; 8196)) +;;;;;; sql-add-product-keywords) "sql" "progmodes/sql.el" (18307 +;;;;;; 57871)) ;;; Generated autoloads from progmodes/sql.el (autoload 'sql-add-product-keywords "sql" "\ @@ -25764,8 +26075,8 @@ input. See `sql-interactive-mode'. ;;;;;; strokes-mode strokes-list-strokes strokes-load-user-strokes ;;;;;; strokes-help strokes-describe-stroke strokes-do-complex-stroke ;;;;;; strokes-do-stroke strokes-read-complex-stroke strokes-read-stroke -;;;;;; strokes-global-set-stroke) "strokes" "strokes.el" (18120 -;;;;;; 34749)) +;;;;;; strokes-global-set-stroke) "strokes" "strokes.el" (18307 +;;;;;; 57859)) ;;; Generated autoloads from strokes.el (autoload 'strokes-global-set-stroke "strokes" "\ @@ -25895,7 +26206,7 @@ Studlify-case the current buffer. ;;;*** -;;;### (autoloads (locate-library) "subr" "subr.el" (18211 32385)) +;;;### (autoloads (locate-library) "subr" "subr.el" (18307 57859)) ;;; Generated autoloads from subr.el (autoload 'locate-library "subr" "\ @@ -25917,7 +26228,7 @@ and the file name is displayed in the echo area. ;;;*** ;;;### (autoloads (sc-cite-original) "supercite" "mail/supercite.el" -;;;;;; (18173 8195)) +;;;;;; (18307 57869)) ;;; Generated autoloads from mail/supercite.el (autoload 'sc-cite-original "supercite" "\ @@ -25949,8 +26260,8 @@ before, and `sc-post-hook' is run after the guts of this function. ;;;*** -;;;### (autoloads (gpm-mouse-mode) "t-mouse" "t-mouse.el" (18177 -;;;;;; 7731)) +;;;### (autoloads (gpm-mouse-mode) "t-mouse" "t-mouse.el" (18307 +;;;;;; 57859)) ;;; Generated autoloads from t-mouse.el (define-obsolete-function-alias 't-mouse-mode 'gpm-mouse-mode "23.1") @@ -25977,7 +26288,7 @@ It relies on the `gpm' daemon being activated. ;;;*** -;;;### (autoloads (tabify untabify) "tabify" "tabify.el" (18088 55089)) +;;;### (autoloads (tabify untabify) "tabify" "tabify.el" (18307 57859)) ;;; Generated autoloads from tabify.el (autoload 'untabify "tabify" "\ @@ -26012,7 +26323,7 @@ The variable `tab-width' controls the spacing of tab stops. ;;;;;; table-recognize table-insert-row-column table-insert-column ;;;;;; table-insert-row table-insert table-point-left-cell-hook ;;;;;; table-point-entered-cell-hook table-load-hook table-cell-map-hook) -;;;;;; "table" "textmodes/table.el" (18173 8196)) +;;;;;; "table" "textmodes/table.el" (18302 4831)) ;;; Generated autoloads from textmodes/table.el (defvar table-cell-map-hook nil "\ @@ -26600,7 +26911,7 @@ converts a table into plain text without frames. It is a companion to ;;;*** -;;;### (autoloads (talk talk-connect) "talk" "talk.el" (18133 2197)) +;;;### (autoloads (talk talk-connect) "talk" "talk.el" (18307 57859)) ;;; Generated autoloads from talk.el (autoload 'talk-connect "talk" "\ @@ -26615,7 +26926,7 @@ Connect to the Emacs talk group from the current X display or tty frame. ;;;*** -;;;### (autoloads (tar-mode) "tar-mode" "tar-mode.el" (18202 3996)) +;;;### (autoloads (tar-mode) "tar-mode" "tar-mode.el" (18307 57859)) ;;; Generated autoloads from tar-mode.el (autoload 'tar-mode "tar-mode" "\ @@ -26639,7 +26950,7 @@ See also: variables `tar-update-datestamp' and `tar-anal-blocksize'. ;;;*** ;;;### (autoloads (tcl-help-on-word inferior-tcl tcl-mode) "tcl" -;;;;;; "progmodes/tcl.el" (18120 34753)) +;;;;;; "progmodes/tcl.el" (18307 57871)) ;;; Generated autoloads from progmodes/tcl.el (autoload 'tcl-mode "tcl" "\ @@ -26690,7 +27001,7 @@ Prefix argument means invert sense of `tcl-use-smart-word-finder'. ;;;*** -;;;### (autoloads (rsh telnet) "telnet" "net/telnet.el" (18101 9759)) +;;;### (autoloads (rsh telnet) "telnet" "net/telnet.el" (18307 57870)) ;;; Generated autoloads from net/telnet.el (add-hook 'same-window-regexps "\\*telnet-.*\\*\\(\\|<[0-9]+>\\)") @@ -26717,8 +27028,8 @@ Normally input is edited in Emacs and sent a line at a time. ;;;*** -;;;### (autoloads (ansi-term term make-term) "term" "term.el" (18120 -;;;;;; 34749)) +;;;### (autoloads (ansi-term term make-term) "term" "term.el" (18307 +;;;;;; 57859)) ;;; Generated autoloads from term.el (autoload 'make-term "term" "\ @@ -26746,8 +27057,8 @@ Start a terminal-emulator in a new buffer. ;;;*** -;;;### (autoloads (terminal-emulator) "terminal" "terminal.el" (18088 -;;;;;; 55090)) +;;;### (autoloads (terminal-emulator) "terminal" "terminal.el" (18307 +;;;;;; 57859)) ;;; Generated autoloads from terminal.el (autoload 'terminal-emulator "terminal" "\ @@ -26784,7 +27095,7 @@ subprocess started. ;;;*** ;;;### (autoloads (testcover-this-defun) "testcover" "emacs-lisp/testcover.el" -;;;;;; (18088 55096)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/testcover.el (autoload 'testcover-this-defun "testcover" "\ @@ -26794,7 +27105,7 @@ Start coverage on function under point. ;;;*** -;;;### (autoloads (tetris) "tetris" "play/tetris.el" (18088 55114)) +;;;### (autoloads (tetris) "tetris" "play/tetris.el" (18307 57870)) ;;; Generated autoloads from play/tetris.el (autoload 'tetris "tetris" "\ @@ -26825,7 +27136,7 @@ tetris-mode keybindings: ;;;;;; tex-start-commands tex-start-options slitex-run-command latex-run-command ;;;;;; tex-run-command tex-offer-save tex-main-file tex-first-line-header-regexp ;;;;;; tex-directory tex-shell-file-name) "tex-mode" "textmodes/tex-mode.el" -;;;;;; (18211 32385)) +;;;;;; (18307 57874)) ;;; Generated autoloads from textmodes/tex-mode.el (defvar tex-shell-file-name nil "\ @@ -27127,7 +27438,7 @@ Major mode to edit DocTeX files. ;;;*** ;;;### (autoloads (texi2info texinfo-format-region texinfo-format-buffer) -;;;;;; "texinfmt" "textmodes/texinfmt.el" (18130 62049)) +;;;;;; "texinfmt" "textmodes/texinfmt.el" (18307 57874)) ;;; Generated autoloads from textmodes/texinfmt.el (autoload 'texinfo-format-buffer "texinfmt" "\ @@ -27167,7 +27478,7 @@ if large. You can use `Info-split' to do this manually. ;;;*** ;;;### (autoloads (texinfo-mode texinfo-close-quote texinfo-open-quote) -;;;;;; "texinfo" "textmodes/texinfo.el" (18149 22003)) +;;;;;; "texinfo" "textmodes/texinfo.el" (18307 57874)) ;;; Generated autoloads from textmodes/texinfo.el (defvar texinfo-open-quote "``" "\ @@ -27254,7 +27565,7 @@ value of `texinfo-mode-hook'. ;;;### (autoloads (thai-auto-composition-mode thai-composition-function ;;;;;; thai-post-read-conversion thai-compose-buffer thai-compose-string ;;;;;; thai-compose-region) "thai-util" "language/thai-util.el" -;;;;;; (18088 55109)) +;;;;;; (18307 57867)) ;;; Generated autoloads from language/thai-util.el (autoload 'thai-compose-region "thai-util" "\ @@ -27298,7 +27609,7 @@ Minor mode for automatically correct Thai character composition. ;;;### (autoloads (list-at-point number-at-point symbol-at-point ;;;;;; sexp-at-point thing-at-point bounds-of-thing-at-point forward-thing) -;;;;;; "thingatpt" "thingatpt.el" (18088 55090)) +;;;;;; "thingatpt" "thingatpt.el" (18307 57859)) ;;; Generated autoloads from thingatpt.el (autoload 'forward-thing "thingatpt" "\ @@ -27355,7 +27666,7 @@ Not documented ;;;### (autoloads (thumbs-dired-setroot thumbs-dired-show thumbs-dired-show-marked ;;;;;; thumbs-show-from-dir thumbs-find-thumb) "thumbs" "thumbs.el" -;;;;;; (18088 55090)) +;;;;;; (18307 57859)) ;;; Generated autoloads from thumbs.el (autoload 'thumbs-find-thumb "thumbs" "\ @@ -27394,7 +27705,7 @@ In dired, call the setroot program on the image at point. ;;;;;; tibetan-composition-function tibetan-decompose-string tibetan-decompose-region ;;;;;; tibetan-compose-region tibetan-compose-string tibetan-transcription-to-tibetan ;;;;;; tibetan-tibetan-to-transcription tibetan-char-p) "tibet-util" -;;;;;; "language/tibet-util.el" (18088 55109)) +;;;;;; "language/tibet-util.el" (18307 57867)) ;;; Generated autoloads from language/tibet-util.el (autoload 'tibetan-char-p "tibet-util" "\ @@ -27473,7 +27784,7 @@ Not documented ;;;*** ;;;### (autoloads (tildify-buffer tildify-region) "tildify" "textmodes/tildify.el" -;;;;;; (18088 55121)) +;;;;;; (18307 57875)) ;;; Generated autoloads from textmodes/tildify.el (autoload 'tildify-region "tildify" "\ @@ -27497,7 +27808,7 @@ This function performs no refilling of the changed text. ;;;*** ;;;### (autoloads (display-time-world display-time-mode display-time -;;;;;; display-time-day-and-date) "time" "time.el" (18211 32385)) +;;;;;; display-time-day-and-date) "time" "time.el" (18307 57859)) ;;; Generated autoloads from time.el (defvar display-time-day-and-date nil "\ @@ -27546,8 +27857,8 @@ To turn off the world time display, go to that window and type `q'. ;;;### (autoloads (safe-date-to-time time-to-days time-to-day-in-year ;;;;;; date-leap-year-p days-between date-to-day time-add time-subtract ;;;;;; time-since days-to-time time-less-p seconds-to-time time-to-seconds -;;;;;; date-to-time) "time-date" "calendar/time-date.el" (18088 -;;;;;; 55094)) +;;;;;; date-to-time) "time-date" "calendar/time-date.el" (18307 +;;;;;; 57860)) ;;; Generated autoloads from calendar/time-date.el (autoload 'date-to-time "time-date" "\ @@ -27633,9 +27944,10 @@ If DATE is malformed, return a time value of zeros. ;;;*** ;;;### (autoloads (time-stamp-toggle-active time-stamp) "time-stamp" -;;;;;; "time-stamp.el" (18088 55090)) +;;;;;; "time-stamp.el" (18307 57859)) ;;; Generated autoloads from time-stamp.el (put 'time-stamp-format 'safe-local-variable 'stringp) +(put 'time-stamp-time-zone 'safe-local-variable 'string-or-null-p) (put 'time-stamp-line-limit 'safe-local-variable 'integerp) (put 'time-stamp-start 'safe-local-variable 'stringp) (put 'time-stamp-end 'safe-local-variable 'stringp) @@ -27676,7 +27988,7 @@ With ARG, turn time stamping on if and only if arg is positive. ;;;;;; timeclock-workday-remaining-string timeclock-reread-log timeclock-query-out ;;;;;; timeclock-change timeclock-status-string timeclock-out timeclock-in ;;;;;; timeclock-modeline-display) "timeclock" "calendar/timeclock.el" -;;;;;; (18088 55094)) +;;;;;; (18307 57861)) ;;; Generated autoloads from calendar/timeclock.el (autoload 'timeclock-modeline-display "timeclock" "\ @@ -27777,7 +28089,7 @@ relative only to the time worked today, and not to past time. ;;;### (autoloads (with-timeout run-with-idle-timer add-timeout run-with-timer ;;;;;; run-at-time cancel-function-timers cancel-timer) "timer" -;;;;;; "emacs-lisp/timer.el" (18088 55096)) +;;;;;; "emacs-lisp/timer.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/timer.el (defalias 'disable-timeout 'cancel-timer) @@ -27858,7 +28170,7 @@ be detected. ;;;*** ;;;### (autoloads (batch-titdic-convert titdic-convert) "titdic-cnv" -;;;;;; "international/titdic-cnv.el" (18088 55108)) +;;;;;; "international/titdic-cnv.el" (18307 57867)) ;;; Generated autoloads from international/titdic-cnv.el (autoload 'titdic-convert "titdic-cnv" "\ @@ -27881,8 +28193,8 @@ To get complete usage, invoke \"emacs -batch -f batch-titdic-convert -h\". ;;;*** ;;;### (autoloads (tamil-composition-function tamil-post-read-conversion -;;;;;; tamil-compose-region) "tml-util" "language/tml-util.el" (18088 -;;;;;; 55109)) +;;;;;; tamil-compose-region) "tml-util" "language/tml-util.el" (18307 +;;;;;; 57867)) ;;; Generated autoloads from language/tml-util.el (autoload 'tamil-compose-region "tml-util" "\ @@ -27905,7 +28217,7 @@ PATTERN regexp. ;;;*** ;;;### (autoloads (tmm-prompt tmm-menubar-mouse tmm-menubar) "tmm" -;;;;;; "tmm.el" (18149 22003)) +;;;;;; "tmm.el" (18307 57859)) ;;; Generated autoloads from tmm.el (define-key global-map "\M-`" 'tmm-menubar) (define-key global-map [menu-bar mouse-1] 'tmm-menubar-mouse) @@ -27945,7 +28257,7 @@ Its value should be an event that has a binding in MENU. ;;;### (autoloads (todo-show todo-cp todo-mode todo-print todo-top-priorities ;;;;;; todo-insert-item todo-add-item-non-interactively todo-add-category) -;;;;;; "todo-mode" "calendar/todo-mode.el" (18203 38492)) +;;;;;; "todo-mode" "calendar/todo-mode.el" (18302 4831)) ;;; Generated autoloads from calendar/todo-mode.el (autoload 'todo-add-category "todo-mode" "\ @@ -28006,7 +28318,7 @@ Show TODO list. ;;;### (autoloads (tool-bar-local-item-from-menu tool-bar-add-item-from-menu ;;;;;; tool-bar-local-item tool-bar-add-item toggle-tool-bar-mode-from-frame) -;;;;;; "tool-bar" "tool-bar.el" (18133 2197)) +;;;;;; "tool-bar" "tool-bar.el" (18307 57859)) ;;; Generated autoloads from tool-bar.el (autoload 'toggle-tool-bar-mode-from-frame "tool-bar" "\ @@ -28079,7 +28391,7 @@ holds a keymap. ;;;*** ;;;### (autoloads (tpu-edt-on tpu-edt-mode) "tpu-edt" "emulation/tpu-edt.el" -;;;;;; (18211 32385)) +;;;;;; (18313 61535)) ;;; Generated autoloads from emulation/tpu-edt.el (defvar tpu-edt-mode nil "\ @@ -28105,7 +28417,42 @@ Turn on TPU/edt emulation. ;;;*** -;;;### (autoloads (tq-create) "tq" "emacs-lisp/tq.el" (18088 55096)) +;;;### (autoloads (tpu-mapper) "tpu-mapper" "emulation/tpu-mapper.el" +;;;;;; (18302 4831)) +;;; Generated autoloads from emulation/tpu-mapper.el + +(autoload 'tpu-mapper "tpu-mapper" "\ +Create an Emacs lisp file defining the TPU-edt keypad for X-windows. + +This command displays an instruction screen showing the TPU-edt keypad +and asks you to press the TPU-edt editing keys. It uses the keys you +press to create an Emacs Lisp file that will define a TPU-edt keypad +for your X server. You can even re-arrange the standard EDT keypad to +suit your tastes (or to cope with those silly Sun and PC keypads). + +Finally, you will be prompted for the name of the file to store the key +definitions. If you chose the default, TPU-edt will find it and load it +automatically. If you specify a different file name, you will need to +set the variable ``tpu-xkeys-file'' before starting TPU-edt. Here's how +you might go about doing that in your .emacs file. + + (setq tpu-xkeys-file (expand-file-name \"~/.my-emacs-x-keys\")) + (tpu-edt) + +Known Problems: + +Sometimes, tpu-mapper will ignore a key you press, and just continue to +prompt for the same key. This can happen when your window manager sucks +up the key and doesn't pass it on to Emacs, or it could be an Emacs bug. +Either way, there's nothing that tpu-mapper can do about it. You must +press RETURN, to skip the current key and continue. Later, you and/or +your local X guru can try to figure out why the key is being ignored. + +\(fn)" t nil) + +;;;*** + +;;;### (autoloads (tq-create) "tq" "emacs-lisp/tq.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/tq.el (autoload 'tq-create "tq" "\ @@ -28119,7 +28466,7 @@ to a tcp server on another machine. ;;;*** ;;;### (autoloads (trace-function-background trace-function trace-buffer) -;;;;;; "trace" "emacs-lisp/trace.el" (18088 55096)) +;;;;;; "trace" "emacs-lisp/trace.el" (18307 57861)) ;;; Generated autoloads from emacs-lisp/trace.el (defvar trace-buffer "*trace-output*" "\ @@ -28156,7 +28503,7 @@ BUFFER defaults to `trace-buffer'. ;;;### (autoloads (tramp-unload-tramp tramp-completion-handle-file-name-completion ;;;;;; tramp-completion-handle-file-name-all-completions tramp-unload-file-name-handlers ;;;;;; tramp-file-name-handler tramp-syntax) "tramp" "net/tramp.el" -;;;;;; (18211 32385)) +;;;;;; (18316 2343)) ;;; Generated autoloads from net/tramp.el (defvar tramp-syntax (if (featurep 'xemacs) 'sep 'ftp) "\ @@ -28284,7 +28631,7 @@ Discard Tramp from loading remote files. ;;;*** ;;;### (autoloads (tramp-ftp-enable-ange-ftp) "tramp-ftp" "net/tramp-ftp.el" -;;;;;; (18183 58477)) +;;;;;; (18307 57870)) ;;; Generated autoloads from net/tramp-ftp.el (autoload 'tramp-ftp-enable-ange-ftp "tramp-ftp" "\ @@ -28294,8 +28641,8 @@ Not documented ;;;*** -;;;### (autoloads (help-with-tutorial) "tutorial" "tutorial.el" (18200 -;;;;;; 51264)) +;;;### (autoloads (help-with-tutorial) "tutorial" "tutorial.el" (18307 +;;;;;; 57859)) ;;; Generated autoloads from tutorial.el (autoload 'help-with-tutorial "tutorial" "\ @@ -28320,7 +28667,7 @@ resumed later. ;;;*** ;;;### (autoloads (2C-split 2C-associate-buffer 2C-two-columns) "two-column" -;;;;;; "textmodes/two-column.el" (18201 33329)) +;;;;;; "textmodes/two-column.el" (18307 57875)) ;;; Generated autoloads from textmodes/two-column.el (autoload '2C-command "two-column" () t 'keymap) (global-set-key "\C-x6" '2C-command) @@ -28371,7 +28718,7 @@ First column's text sSs Second column's text ;;;;;; type-break type-break-mode type-break-keystroke-threshold ;;;;;; type-break-good-break-interval type-break-good-rest-interval ;;;;;; type-break-interval type-break-mode) "type-break" "type-break.el" -;;;;;; (18088 55090)) +;;;;;; (18307 57859)) ;;; Generated autoloads from type-break.el (defvar type-break-mode nil "\ @@ -28554,7 +28901,7 @@ FRAC should be the inverse of the fractional value; for example, a value of ;;;*** ;;;### (autoloads (ununderline-region underline-region) "underline" -;;;;;; "textmodes/underline.el" (18088 55121)) +;;;;;; "textmodes/underline.el" (18307 57875)) ;;; Generated autoloads from textmodes/underline.el (autoload 'underline-region "underline" "\ @@ -28575,7 +28922,7 @@ which specify the range to operate on. ;;;*** ;;;### (autoloads (unforward-rmail-message undigestify-rmail-message) -;;;;;; "undigest" "mail/undigest.el" (18120 34751)) +;;;;;; "undigest" "mail/undigest.el" (18307 57869)) ;;; Generated autoloads from mail/undigest.el (autoload 'undigestify-rmail-message "undigest" "\ @@ -28594,7 +28941,7 @@ following the containing message. ;;;*** ;;;### (autoloads (unrmail batch-unrmail) "unrmail" "mail/unrmail.el" -;;;;;; (18088 55110)) +;;;;;; (18307 57869)) ;;; Generated autoloads from mail/unrmail.el (autoload 'batch-unrmail "unrmail" "\ @@ -28613,21 +28960,21 @@ Convert Rmail file FILE to system inbox format file TO-FILE. ;;;*** -;;;### (autoloads (unsafep) "unsafep" "emacs-lisp/unsafep.el" (18120 -;;;;;; 34750)) +;;;### (autoloads (unsafep) "unsafep" "emacs-lisp/unsafep.el" (18307 +;;;;;; 57861)) ;;; Generated autoloads from emacs-lisp/unsafep.el (autoload 'unsafep "unsafep" "\ -Return nil if evaluating FORM couldn't possibly do any harm; -otherwise result is a reason why FORM is unsafe. UNSAFEP-VARS is a list -of symbols with local bindings. +Return nil if evaluating FORM couldn't possibly do any harm. +Otherwise result is a reason why FORM is unsafe. +UNSAFEP-VARS is a list of symbols with local bindings. \(fn FORM &optional UNSAFEP-VARS)" nil nil) ;;;*** ;;;### (autoloads (url-retrieve-synchronously url-retrieve) "url" -;;;;;; "url/url.el" (18088 55122)) +;;;;;; "url/url.el" (18307 57875)) ;;; Generated autoloads from url/url.el (autoload 'url-retrieve "url" "\ @@ -28667,7 +29014,7 @@ no further processing). URL is either a string or a parsed URL. ;;;*** ;;;### (autoloads (url-register-auth-scheme url-get-authentication) -;;;;;; "url-auth" "url/url-auth.el" (18197 21677)) +;;;;;; "url-auth" "url/url-auth.el" (18307 57875)) ;;; Generated autoloads from url/url-auth.el (autoload 'url-get-authentication "url-auth" "\ @@ -28709,8 +29056,8 @@ RATING a rating between 1 and 10 of the strength of the authentication. ;;;*** ;;;### (autoloads (url-cache-expired url-cache-extract url-is-cached -;;;;;; url-store-in-cache) "url-cache" "url/url-cache.el" (18120 -;;;;;; 34753)) +;;;;;; url-store-in-cache) "url-cache" "url/url-cache.el" (18307 +;;;;;; 57875)) ;;; Generated autoloads from url/url-cache.el (autoload 'url-store-in-cache "url-cache" "\ @@ -28735,7 +29082,7 @@ Return t if a cached file has expired. ;;;*** -;;;### (autoloads (url-cid) "url-cid" "url/url-cid.el" (18088 55121)) +;;;### (autoloads (url-cid) "url-cid" "url/url-cid.el" (18307 57875)) ;;; Generated autoloads from url/url-cid.el (autoload 'url-cid "url-cid" "\ @@ -28746,7 +29093,7 @@ Not documented ;;;*** ;;;### (autoloads (url-dav-vc-registered url-dav-supported-p) "url-dav" -;;;;;; "url/url-dav.el" (18173 8196)) +;;;;;; "url/url-dav.el" (18307 57875)) ;;; Generated autoloads from url/url-dav.el (autoload 'url-dav-supported-p "url-dav" "\ @@ -28761,8 +29108,8 @@ Not documented ;;;*** -;;;### (autoloads (url-file) "url-file" "url/url-file.el" (18140 -;;;;;; 63039)) +;;;### (autoloads (url-file) "url-file" "url/url-file.el" (18307 +;;;;;; 57875)) ;;; Generated autoloads from url/url-file.el (autoload 'url-file "url-file" "\ @@ -28773,7 +29120,7 @@ Handle file: and ftp: URLs. ;;;*** ;;;### (autoloads (url-open-stream url-gateway-nslookup-host) "url-gw" -;;;;;; "url/url-gw.el" (18088 55121)) +;;;;;; "url/url-gw.el" (18307 57875)) ;;; Generated autoloads from url/url-gw.el (autoload 'url-gateway-nslookup-host "url-gw" "\ @@ -28792,8 +29139,8 @@ Might do a non-blocking connection; use `process-status' to check. ;;;*** ;;;### (autoloads (url-insert-file-contents url-file-local-copy url-copy-file -;;;;;; url-handler-mode) "url-handlers" "url/url-handlers.el" (18088 -;;;;;; 55121)) +;;;;;; url-file-handler url-handler-mode) "url-handlers" "url/url-handlers.el" +;;;;;; (18307 57875)) ;;; Generated autoloads from url/url-handlers.el (defvar url-handler-mode nil "\ @@ -28810,6 +29157,13 @@ Use URL to handle URL-like file names. \(fn &optional ARG)" t nil) +(autoload 'url-file-handler "url-handlers" "\ +Function called from the `file-name-handler-alist' routines. +OPERATION is what needs to be done (`file-exists-p', etc). ARGS are +the arguments that would have been passed to OPERATION. + +\(fn OPERATION &rest ARGS)" nil nil) + (autoload 'url-copy-file "url-handlers" "\ Copy URL to NEWNAME. Both args must be strings. Signals a `file-already-exists' error if file NEWNAME already exists, @@ -28837,7 +29191,7 @@ Not documented ;;;*** ;;;### (autoloads (url-http-options url-http-file-attributes url-http-file-exists-p -;;;;;; url-http) "url-http" "url/url-http.el" (18140 63039)) +;;;;;; url-http) "url-http" "url/url-http.el" (18307 57875)) ;;; Generated autoloads from url/url-http.el (autoload 'url-http "url-http" "\ @@ -28902,7 +29256,7 @@ HTTPS retrievals are asynchronous.") ;;;*** -;;;### (autoloads (url-irc) "url-irc" "url/url-irc.el" (18088 55121)) +;;;### (autoloads (url-irc) "url-irc" "url/url-irc.el" (18307 57875)) ;;; Generated autoloads from url/url-irc.el (autoload 'url-irc "url-irc" "\ @@ -28912,8 +29266,8 @@ Not documented ;;;*** -;;;### (autoloads (url-ldap) "url-ldap" "url/url-ldap.el" (18088 -;;;;;; 55121)) +;;;### (autoloads (url-ldap) "url-ldap" "url/url-ldap.el" (18307 +;;;;;; 57875)) ;;; Generated autoloads from url/url-ldap.el (autoload 'url-ldap "url-ldap" "\ @@ -28927,7 +29281,7 @@ URL can be a URL string, or a URL vector of the type returned by ;;;*** ;;;### (autoloads (url-mailto url-mail) "url-mailto" "url/url-mailto.el" -;;;;;; (18140 63039)) +;;;;;; (18307 57875)) ;;; Generated autoloads from url/url-mailto.el (autoload 'url-mail "url-mailto" "\ @@ -28943,7 +29297,7 @@ Handle the mailto: URL syntax. ;;;*** ;;;### (autoloads (url-data url-generic-emulator-loader url-info -;;;;;; url-man) "url-misc" "url/url-misc.el" (18165 39747)) +;;;;;; url-man) "url-misc" "url/url-misc.el" (18307 57875)) ;;; Generated autoloads from url/url-misc.el (autoload 'url-man "url-misc" "\ @@ -28975,7 +29329,7 @@ Fetch a data URL (RFC 2397). ;;;*** ;;;### (autoloads (url-snews url-news) "url-news" "url/url-news.el" -;;;;;; (18163 15437)) +;;;;;; (18307 57875)) ;;; Generated autoloads from url/url-news.el (autoload 'url-news "url-news" "\ @@ -28992,7 +29346,7 @@ Not documented ;;;### (autoloads (url-ns-user-pref url-ns-prefs isInNet isResolvable ;;;;;; dnsResolve dnsDomainIs isPlainHostName) "url-ns" "url/url-ns.el" -;;;;;; (18088 55121)) +;;;;;; (18307 57875)) ;;; Generated autoloads from url/url-ns.el (autoload 'isPlainHostName "url-ns" "\ @@ -29033,7 +29387,7 @@ Not documented ;;;*** ;;;### (autoloads (url-generic-parse-url url-recreate-url) "url-parse" -;;;;;; "url/url-parse.el" (18140 63039)) +;;;;;; "url/url-parse.el" (18307 57875)) ;;; Generated autoloads from url/url-parse.el (autoload 'url-recreate-url "url-parse" "\ @@ -29051,7 +29405,7 @@ Format is: ;;;*** ;;;### (autoloads (url-setup-privacy-info) "url-privacy" "url/url-privacy.el" -;;;;;; (18088 55121)) +;;;;;; (18307 57875)) ;;; Generated autoloads from url/url-privacy.el (autoload 'url-setup-privacy-info "url-privacy" "\ @@ -29067,7 +29421,7 @@ Setup variables that expose info about you and your system. ;;;;;; url-pretty-length url-strip-leading-spaces url-eat-trailing-space ;;;;;; url-get-normalized-date url-lazy-message url-normalize-url ;;;;;; url-insert-entities-in-string url-parse-args url-debug url-debug) -;;;;;; "url-util" "url/url-util.el" (18197 21677)) +;;;;;; "url-util" "url/url-util.el" (18307 57875)) ;;; Generated autoloads from url/url-util.el (defvar url-debug nil "\ @@ -29201,7 +29555,7 @@ This uses `url-current-object', set locally to the buffer. ;;;*** ;;;### (autoloads (ask-user-about-supersession-threat ask-user-about-lock) -;;;;;; "userlock" "userlock.el" (18120 34749)) +;;;;;; "userlock" "userlock.el" (18307 57859)) ;;; Generated autoloads from userlock.el (autoload 'ask-user-about-lock "userlock" "\ @@ -29229,16 +29583,17 @@ The buffer in question is current when this function is called. ;;;*** -;;;### (autoloads nil "utf-7" "international/utf-7.el" (18088 55108)) +;;;### (autoloads nil "utf-7" "international/utf-7.el" (18307 57867)) ;;; Generated autoloads from international/utf-7.el (autoload-coding-system 'utf-7 '(require 'utf-7)) +(autoload-coding-system 'utf-7-imap '(require 'utf-7)) ;;;*** ;;;### (autoloads (uudecode-decode-region uudecode-decode-region-internal -;;;;;; uudecode-decode-region-external) "uudecode" "gnus/uudecode.el" -;;;;;; (18212 46007)) -;;; Generated autoloads from gnus/uudecode.el +;;;;;; uudecode-decode-region-external) "uudecode" "mail/uudecode.el" +;;;;;; (18307 57869)) +;;; Generated autoloads from mail/uudecode.el (autoload 'uudecode-decode-region-external "uudecode" "\ Uudecode region between START and END using external program. @@ -29267,7 +29622,7 @@ If FILE-NAME is non-nil, save the result to FILE-NAME. ;;;;;; vc-directory vc-merge vc-insert-headers vc-revision-other-window ;;;;;; vc-diff vc-version-diff vc-register vc-next-action vc-do-command ;;;;;; edit-vc-file with-vc-file vc-before-checkin-hook vc-checkin-hook -;;;;;; vc-checkout-hook) "vc" "vc.el" (18214 4763)) +;;;;;; vc-checkout-hook) "vc" "vc.el" (18317 26672)) ;;; Generated autoloads from vc.el (defvar vc-checkout-hook nil "\ @@ -29355,7 +29710,8 @@ merge in the changes into your working copy. \(fn VERBOSE)" t nil) (autoload 'vc-register "vc" "\ -Register the current file into a version control system. +Register into a version control system. +If FNAME is given register that file, otherwise register the current file. With prefix argument SET-REVISION, allow user to specify initial revision level. If COMMENT is present, use that as an initial comment. @@ -29366,7 +29722,7 @@ directory are already registered under that backend) will be used to register the file. If no backend declares itself responsible, the first backend that could register the file is used. -\(fn &optional SET-REVISION COMMENT)" t nil) +\(fn &optional FNAME SET-REVISION COMMENT)" t nil) (autoload 'vc-version-diff "vc" "\ Report diffs between revisions of the fileset in the repository history. @@ -29557,7 +29913,7 @@ mode-specific menu. `vc-annotate-color-map' and ;;;*** -;;;### (autoloads nil "vc-arch" "vc-arch.el" (18201 33325)) +;;;### (autoloads nil "vc-arch" "vc-arch.el" (18315 16863)) ;;; Generated autoloads from vc-arch.el (defun vc-arch-registered (file) (if (vc-find-root file "{arch}/=tagging-method") @@ -29567,7 +29923,7 @@ mode-specific menu. `vc-annotate-color-map' and ;;;*** -;;;### (autoloads nil "vc-bzr" "vc-bzr.el" (18201 33325)) +;;;### (autoloads nil "vc-bzr" "vc-bzr.el" (18307 57859)) ;;; Generated autoloads from vc-bzr.el (defconst vc-bzr-admin-dirname ".bzr" "\ @@ -29582,7 +29938,7 @@ Name of the directory containing Bzr repository status files.") ;;;*** -;;;### (autoloads nil "vc-cvs" "vc-cvs.el" (18201 33326)) +;;;### (autoloads nil "vc-cvs" "vc-cvs.el" (18314 32066)) ;;; Generated autoloads from vc-cvs.el (defun vc-cvs-registered (f) (when (file-readable-p (expand-file-name @@ -29592,7 +29948,7 @@ Name of the directory containing Bzr repository status files.") ;;;*** -;;;### (autoloads nil "vc-git" "vc-git.el" (18201 33364)) +;;;### (autoloads nil "vc-git" "vc-git.el" (18307 57859)) ;;; Generated autoloads from vc-git.el (defun vc-git-registered (file) "Return non-nil if FILE is registered with git." @@ -29603,7 +29959,7 @@ Name of the directory containing Bzr repository status files.") ;;;*** -;;;### (autoloads nil "vc-hg" "vc-hg.el" (18203 37787)) +;;;### (autoloads nil "vc-hg" "vc-hg.el" (18308 43617)) ;;; Generated autoloads from vc-hg.el (defun vc-hg-registered (file) "Return non-nil if FILE is registered with hg." @@ -29614,7 +29970,7 @@ Name of the directory containing Bzr repository status files.") ;;;*** -;;;### (autoloads nil "vc-mcvs" "vc-mcvs.el" (18201 33326)) +;;;### (autoloads nil "vc-mcvs" "vc-mcvs.el" (18307 57859)) ;;; Generated autoloads from vc-mcvs.el (defun vc-mcvs-registered (file) (if (vc-find-root file "MCVS/CVS") @@ -29624,7 +29980,7 @@ Name of the directory containing Bzr repository status files.") ;;;*** -;;;### (autoloads nil "vc-mtn" "vc-mtn.el" (18202 3996)) +;;;### (autoloads nil "vc-mtn" "vc-mtn.el" (18307 57859)) ;;; Generated autoloads from vc-mtn.el (defconst vc-mtn-admin-dir "_MTN") @@ -29639,7 +29995,7 @@ Name of the directory containing Bzr repository status files.") ;;;*** ;;;### (autoloads (vc-rcs-master-templates) "vc-rcs" "vc-rcs.el" -;;;;;; (18190 46608)) +;;;;;; (18307 57859)) ;;; Generated autoloads from vc-rcs.el (defvar vc-rcs-master-templates '("%sRCS/%s,v" "%s%s,v" "%sRCS/%s") "\ @@ -29652,7 +30008,7 @@ For a description of possible values, see `vc-check-master-templates'.") ;;;*** ;;;### (autoloads (vc-sccs-master-templates) "vc-sccs" "vc-sccs.el" -;;;;;; (18190 46608)) +;;;;;; (18307 57859)) ;;; Generated autoloads from vc-sccs.el (defvar vc-sccs-master-templates '("%sSCCS/s.%s" "%ss.%s" vc-sccs-search-project-dir) "\ @@ -29669,7 +30025,7 @@ find any project directory." (let ((project-dir (getenv "PROJECTDIR")) dirs dir) ;;;*** -;;;### (autoloads nil "vc-svn" "vc-svn.el" (18201 33326)) +;;;### (autoloads nil "vc-svn" "vc-svn.el" (18315 16863)) ;;; Generated autoloads from vc-svn.el (defun vc-svn-registered (f) (let ((admin-dir (cond ((and (eq system-type 'windows-nt) @@ -29687,7 +30043,7 @@ find any project directory." (let ((project-dir (getenv "PROJECTDIR")) dirs dir) ;;;*** ;;;### (autoloads (vera-mode) "vera-mode" "progmodes/vera-mode.el" -;;;;;; (18203 37789)) +;;;;;; (18307 57871)) ;;; Generated autoloads from progmodes/vera-mode.el (add-to-list 'auto-mode-alist '("\\.vr[hi]?\\'" . vera-mode)) @@ -29744,8 +30100,144 @@ Key bindings: ;;;*** +;;;### (autoloads (verilog-mode) "verilog-mode" "progmodes/verilog-mode.el" +;;;;;; (18307 57872)) +;;; Generated autoloads from progmodes/verilog-mode.el + +(autoload 'verilog-mode "verilog-mode" "\ +Major mode for editing Verilog code. +\\<verilog-mode-map> +See \\[describe-function] verilog-auto (\\[verilog-auto]) for details on how +AUTOs can improve coding efficiency. + +Use \\[verilog-faq] for a pointer to frequently asked questions. + +NEWLINE, TAB indents for Verilog code. +Delete converts tabs to spaces as it moves back. + +Supports highlighting. + +Turning on Verilog mode calls the value of the variable `verilog-mode-hook' +with no args, if that value is non-nil. + +Variables controlling indentation/edit style: + + variable `verilog-indent-level' (default 3) + Indentation of Verilog statements with respect to containing block. + `verilog-indent-level-module' (default 3) + Absolute indentation of Module level Verilog statements. + Set to 0 to get initial and always statements lined up + on the left side of your screen. + `verilog-indent-level-declaration' (default 3) + Indentation of declarations with respect to containing block. + Set to 0 to get them list right under containing block. + `verilog-indent-level-behavioral' (default 3) + Indentation of first begin in a task or function block + Set to 0 to get such code to lined up underneath the task or function keyword + `verilog-indent-level-directive' (default 1) + Indentation of `ifdef/`endif blocks + `verilog-cexp-indent' (default 1) + Indentation of Verilog statements broken across lines i.e.: + if (a) + begin + `verilog-case-indent' (default 2) + Indentation for case statements. + `verilog-auto-newline' (default nil) + Non-nil means automatically newline after semicolons and the punctuation + mark after an end. + `verilog-auto-indent-on-newline' (default t) + Non-nil means automatically indent line after newline + `verilog-tab-always-indent' (default t) + Non-nil means TAB in Verilog mode should always reindent the current line, + regardless of where in the line point is when the TAB command is used. + `verilog-indent-begin-after-if' (default t) + Non-nil means to indent begin statements following a preceding + if, else, while, for and repeat statements, if any. otherwise, + the begin is lined up with the preceding token. If t, you get: + if (a) + begin // amount of indent based on `verilog-cexp-indent' + otherwise you get: + if (a) + begin + `verilog-auto-endcomments' (default t) + Non-nil means a comment /* ... */ is set after the ends which ends + cases, tasks, functions and modules. + The type and name of the object will be set between the braces. + `verilog-minimum-comment-distance' (default 10) + Minimum distance (in lines) between begin and end required before a comment + will be inserted. Setting this variable to zero results in every + end acquiring a comment; the default avoids too many redundant + comments in tight quarters. + `verilog-auto-lineup' (default `(all)) + List of contexts where auto lineup of code should be done. + +Variables controlling other actions: + + `verilog-linter' (default surelint) + Unix program to call to run the lint checker. This is the default + command for \\[compile-command] and \\[verilog-auto-save-compile]. + +See \\[customize] for the complete list of variables. + +AUTO expansion functions are, in part: + + \\[verilog-auto] Expand AUTO statements. + \\[verilog-delete-auto] Remove the AUTOs. + \\[verilog-inject-auto] Insert AUTOs for the first time. + +Some other functions are: + + \\[verilog-complete-word] Complete word with appropriate possibilities. + \\[verilog-mark-defun] Mark function. + \\[verilog-beg-of-defun] Move to beginning of current function. + \\[verilog-end-of-defun] Move to end of current function. + \\[verilog-label-be] Label matching begin ... end, fork ... join, etc statements. + + \\[verilog-comment-region] Put marked area in a comment. + \\[verilog-uncomment-region] Uncomment an area commented with \\[verilog-comment-region]. + \\[verilog-insert-block] Insert begin ... end;. + \\[verilog-star-comment] Insert /* ... */. + + \\[verilog-sk-always] Insert a always @(AS) begin .. end block. + \\[verilog-sk-begin] Insert a begin .. end block. + \\[verilog-sk-case] Insert a case block, prompting for details. + \\[verilog-sk-for] Insert a for (...) begin .. end block, prompting for details. + \\[verilog-sk-generate] Insert a generate .. endgenerate block. + \\[verilog-sk-header] Insert a nice header block at the top of file. + \\[verilog-sk-initial] Insert an initial begin .. end block. + \\[verilog-sk-fork] Insert a fork begin .. end .. join block. + \\[verilog-sk-module] Insert a module .. (/*AUTOARG*/);.. endmodule block. + \\[verilog-sk-primitive] Insert a primitive .. (.. );.. endprimitive block. + \\[verilog-sk-repeat] Insert a repeat (..) begin .. end block. + \\[verilog-sk-specify] Insert a specify .. endspecify block. + \\[verilog-sk-task] Insert a task .. begin .. end endtask block. + \\[verilog-sk-while] Insert a while (...) begin .. end block, prompting for details. + \\[verilog-sk-casex] Insert a casex (...) item: begin.. end endcase block, prompting for details. + \\[verilog-sk-casez] Insert a casez (...) item: begin.. end endcase block, prompting for details. + \\[verilog-sk-if] Insert an if (..) begin .. end block. + \\[verilog-sk-else-if] Insert an else if (..) begin .. end block. + \\[verilog-sk-comment] Insert a comment block. + \\[verilog-sk-assign] Insert an assign .. = ..; statement. + \\[verilog-sk-function] Insert a function .. begin .. end endfunction block. + \\[verilog-sk-input] Insert an input declaration, prompting for details. + \\[verilog-sk-output] Insert an output declaration, prompting for details. + \\[verilog-sk-state-machine] Insert a state machine definition, prompting for details. + \\[verilog-sk-inout] Insert an inout declaration, prompting for details. + \\[verilog-sk-wire] Insert a wire declaration, prompting for details. + \\[verilog-sk-reg] Insert a register declaration, prompting for details. + \\[verilog-sk-define-signal] Define signal under point as a register at the top of the module. + +All key bindings can be seen in a Verilog-buffer with \\[describe-bindings]. +Key bindings specific to `verilog-mode-map' are: + +\\{verilog-mode-map} + +\(fn)" t nil) + +;;;*** + ;;;### (autoloads (vhdl-mode) "vhdl-mode" "progmodes/vhdl-mode.el" -;;;;;; (18203 37789)) +;;;;;; (18307 57872)) ;;; Generated autoloads from progmodes/vhdl-mode.el (autoload 'vhdl-mode "vhdl-mode" "\ @@ -30286,7 +30778,7 @@ Key bindings: ;;;*** -;;;### (autoloads (vi-mode) "vi" "emulation/vi.el" (18201 33326)) +;;;### (autoloads (vi-mode) "vi" "emulation/vi.el" (18263 30458)) ;;; Generated autoloads from emulation/vi.el (autoload 'vi-mode "vi" "\ @@ -30341,7 +30833,7 @@ Syntax table and abbrevs while in vi mode remain as they were in Emacs. ;;;### (autoloads (viqr-pre-write-conversion viqr-post-read-conversion ;;;;;; viet-encode-viqr-buffer viet-encode-viqr-region viet-decode-viqr-buffer ;;;;;; viet-decode-viqr-region viet-encode-viscii-char) "viet-util" -;;;;;; "language/viet-util.el" (18088 55109)) +;;;;;; "language/viet-util.el" (18307 57868)) ;;; Generated autoloads from language/viet-util.el (autoload 'viet-encode-viscii-char "viet-util" "\ @@ -30385,12 +30877,19 @@ Not documented ;;;*** -;;;### (autoloads (View-exit-and-edit view-mode-enter view-mode view-buffer-other-frame -;;;;;; view-buffer-other-window view-buffer view-file-other-frame -;;;;;; view-file-other-window view-file) "view" "view.el" (18169 -;;;;;; 11932)) +;;;### (autoloads (View-exit-and-edit view-mode-enter view-return-to-alist-update +;;;;;; view-mode view-buffer-other-frame view-buffer-other-window +;;;;;; view-buffer view-file-other-frame view-file-other-window +;;;;;; view-file view-remove-frame-by-deleting) "view" "view.el" +;;;;;; (18307 57859)) ;;; Generated autoloads from view.el +(defvar view-remove-frame-by-deleting t "\ +*Determine how View mode removes a frame no longer needed. +If nil, make an icon of the frame. If non-nil, delete the frame.") + +(custom-autoload 'view-remove-frame-by-deleting "view" t) + (defvar view-mode nil "\ Non-nil if View mode is enabled. Don't change this variable directly, you must change it by one of the @@ -30400,11 +30899,11 @@ functions that enable or disable view mode.") (autoload 'view-file "view" "\ View FILE in View mode, returning to previous buffer when done. -Emacs commands editing the buffer contents are not available; instead, -a special set of commands (mostly letters and punctuation) -are defined for moving around in the buffer. +Emacs commands editing the buffer contents are not available; instead, a +special set of commands (mostly letters and punctuation) are defined for +moving around in the buffer. Space scrolls forward, Delete scrolls backward. -For list of all View commands, type H or h while viewing. +For a list of all View commands, type H or h while viewing. This command runs the normal hook `view-mode-hook'. @@ -30412,12 +30911,12 @@ This command runs the normal hook `view-mode-hook'. (autoload 'view-file-other-window "view" "\ View FILE in View mode in another window. -Return that window to its previous buffer when done. -Emacs commands editing the buffer contents are not available; instead, -a special set of commands (mostly letters and punctuation) -are defined for moving around in the buffer. +Return that window to its previous buffer when done. Emacs commands +editing the buffer contents are not available; instead, a special set of +commands (mostly letters and punctuation) are defined for moving around +in the buffer. Space scrolls forward, Delete scrolls backward. -For list of all View commands, type H or h while viewing. +For a list of all View commands, type H or h while viewing. This command runs the normal hook `view-mode-hook'. @@ -30426,11 +30925,11 @@ This command runs the normal hook `view-mode-hook'. (autoload 'view-file-other-frame "view" "\ View FILE in View mode in another frame. Maybe delete other frame and/or return to previous buffer when done. -Emacs commands editing the buffer contents are not available; instead, -a special set of commands (mostly letters and punctuation) -are defined for moving around in the buffer. +Emacs commands editing the buffer contents are not available; instead, a +special set of commands (mostly letters and punctuation) are defined for +moving around in the buffer. Space scrolls forward, Delete scrolls backward. -For list of all View commands, type H or h while viewing. +For a list of all View commands, type H or h while viewing. This command runs the normal hook `view-mode-hook'. @@ -30438,51 +30937,51 @@ This command runs the normal hook `view-mode-hook'. (autoload 'view-buffer "view" "\ View BUFFER in View mode, returning to previous buffer when done. -Emacs commands editing the buffer contents are not available; instead, -a special set of commands (mostly letters and punctuation) -are defined for moving around in the buffer. +Emacs commands editing the buffer contents are not available; instead, a +special set of commands (mostly letters and punctuation) are defined for +moving around in the buffer. Space scrolls forward, Delete scrolls backward. -For list of all View commands, type H or h while viewing. +For a list of all View commands, type H or h while viewing. This command runs the normal hook `view-mode-hook'. Optional argument EXIT-ACTION is either nil or a function with buffer as -argument. This function is called when finished viewing buffer. -Use this argument instead of explicitly setting `view-exit-action'. +argument. This function is called when finished viewing buffer. Use +this argument instead of explicitly setting `view-exit-action'. \(fn BUFFER &optional EXIT-ACTION)" t nil) (autoload 'view-buffer-other-window "view" "\ View BUFFER in View mode in another window. -Return to previous buffer when done, unless optional NOT-RETURN is non-nil. -Emacs commands editing the buffer contents are not available; instead, -a special set of commands (mostly letters and punctuation) -are defined for moving around in the buffer. +Return to previous buffer when done, unless optional NOT-RETURN is +non-nil. Emacs commands editing the buffer contents are not available; +instead, a special set of commands (mostly letters and punctuation) are +defined for moving around in the buffer. Space scrolls forward, Delete scrolls backward. -For list of all View commands, type H or h while viewing. +For a list of all View commands, type H or h while viewing. This command runs the normal hook `view-mode-hook'. Optional argument EXIT-ACTION is either nil or a function with buffer as -argument. This function is called when finished viewing buffer. -Use this argument instead of explicitly setting `view-exit-action'. +argument. This function is called when finished viewing buffer. Use +this argument instead of explicitly setting `view-exit-action'. \(fn BUFFER &optional NOT-RETURN EXIT-ACTION)" t nil) (autoload 'view-buffer-other-frame "view" "\ View BUFFER in View mode in another frame. -Return to previous buffer when done, unless optional NOT-RETURN is non-nil. -Emacs commands editing the buffer contents are not available; instead, -a special set of commands (mostly letters and punctuation) -are defined for moving around in the buffer. +Return to previous buffer when done, unless optional NOT-RETURN is +non-nil. Emacs commands editing the buffer contents are not available; +instead, a special set of commands (mostly letters and punctuation) are +defined for moving around in the buffer. Space scrolls forward, Delete scrolls backward. -For list of all View commands, type H or h while viewing. +For a list of all View commands, type H or h while viewing. This command runs the normal hook `view-mode-hook'. Optional argument EXIT-ACTION is either nil or a function with buffer as -argument. This function is called when finished viewing buffer. -Use this argument instead of explicitly setting `view-exit-action'. +argument. This function is called when finished viewing buffer. Use +this argument instead of explicitly setting `view-exit-action'. \(fn BUFFER &optional NOT-RETURN EXIT-ACTION)" t nil) @@ -30566,26 +31065,41 @@ Entry to view-mode runs the normal hook `view-mode-hook'. \(fn &optional ARG)" t nil) +(autoload 'view-return-to-alist-update "view" "\ +Update `view-return-to-alist' of buffer BUFFER. +Remove from `view-return-to-alist' all entries referencing dead +windows. Optional argument ITEM non-nil means add ITEM to +`view-return-to-alist' after purging. For a decsription of items +that can be added see the RETURN-TO-ALIST argument of the +function `view-mode-exit'. If `view-return-to-alist' contains an +entry for the selected window, purge that entry from +`view-return-to-alist' before adding ITEM. + +\(fn BUFFER &optional ITEM)" nil nil) + (autoload 'view-mode-enter "view" "\ Enter View mode and set up exit from view mode depending on optional arguments. -If RETURN-TO is non-nil it is added as an element to the buffer local alist -`view-return-to-alist'. -Save EXIT-ACTION in buffer local variable `view-exit-action'. -It should be either nil or a function that takes a buffer as argument. -This function will be called by `view-mode-exit'. - -RETURN-TO is either nil, meaning do nothing when exiting view mode, or -it has the format (WINDOW OLD-WINDOW . OLD-BUF-INFO). -WINDOW is a window used for viewing. -OLD-WINDOW is nil or the window to select after viewing. -OLD-BUF-INFO tells what to do with WINDOW when exiting. It is one of: -1) nil Do nothing. -2) t Delete WINDOW or, if it is the only window, its frame. +RETURN-TO non-nil means add RETURN-TO as an element to the buffer +local alist `view-return-to-alist'. Save EXIT-ACTION in buffer +local variable `view-exit-action'. It should be either nil or a +function that takes a buffer as argument. This function will be +called by `view-mode-exit'. + +RETURN-TO is either nil, meaning do nothing when exiting view +mode, or must have the format (WINDOW OLD-WINDOW . OLD-BUF-INFO). +WINDOW is the window used for viewing. OLD-WINDOW is nil or the +window to select after viewing. OLD-BUF-INFO tells what to do +with WINDOW when exiting. It is one of: +1) nil Do nothing. +2) t Delete WINDOW or, if it is the only window and + `view-remove-frame-by-deleting' is non-nil, its + frame. 3) (OLD-BUFF START POINT) Display buffer OLD-BUFF with displayed text - starting at START and point at POINT in WINDOW. -4) quit-window Do `quit-window' in WINDOW. + starting at START and point at POINT in WINDOW. +4) quit-window Do `quit-window' in WINDOW. +5) keep-frame Like case 2) but do not delete the frame. -For list of all View commands, type H or h while viewing. +For a list of all View commands, type H or h while viewing. This function runs the normal hook `view-mode-hook'. @@ -30598,8 +31112,8 @@ Exit View mode and make the current buffer editable. ;;;*** -;;;### (autoloads (vip-mode vip-setup) "vip" "emulation/vip.el" (18088 -;;;;;; 55097)) +;;;### (autoloads (vip-mode vip-setup) "vip" "emulation/vip.el" (18307 +;;;;;; 57862)) ;;; Generated autoloads from emulation/vip.el (autoload 'vip-setup "vip" "\ @@ -30615,7 +31129,7 @@ Turn on VIP emulation of VI. ;;;*** ;;;### (autoloads (viper-mode toggle-viper-mode) "viper" "emulation/viper.el" -;;;;;; (18213 13953)) +;;;;;; (18308 43618)) ;;; Generated autoloads from emulation/viper.el (autoload 'toggle-viper-mode "viper" "\ @@ -30632,7 +31146,7 @@ Turn on Viper emulation of Vi in Emacs. See Info node `(viper)Top'. ;;;*** ;;;### (autoloads (warn lwarn display-warning) "warnings" "emacs-lisp/warnings.el" -;;;;;; (18088 55096)) +;;;;;; (18307 57861)) ;;; Generated autoloads from emacs-lisp/warnings.el (defvar warning-prefix-function nil "\ @@ -30722,7 +31236,7 @@ this is equivalent to `display-warning', using ;;;*** ;;;### (autoloads (wdired-change-to-wdired-mode) "wdired" "wdired.el" -;;;;;; (18214 4479)) +;;;;;; (18307 57859)) ;;; Generated autoloads from wdired.el (autoload 'wdired-change-to-wdired-mode "wdired" "\ @@ -30738,7 +31252,7 @@ See `wdired-mode'. ;;;*** -;;;### (autoloads (webjump) "webjump" "net/webjump.el" (18088 55112)) +;;;### (autoloads (webjump) "webjump" "net/webjump.el" (18307 57870)) ;;; Generated autoloads from net/webjump.el (autoload 'webjump "webjump" "\ @@ -30755,7 +31269,7 @@ Please submit bug reports and other feedback to the author, Neil W. Van Dyke ;;;*** ;;;### (autoloads (which-function-mode) "which-func" "progmodes/which-func.el" -;;;;;; (18147 59474)) +;;;;;; (18307 57872)) ;;; Generated autoloads from progmodes/which-func.el (put 'which-func-format 'risky-local-variable t) (put 'which-func-current 'risky-local-variable t) @@ -30788,8 +31302,13 @@ and off otherwise. ;;;;;; whitespace-buffer whitespace-toggle-ateol-check whitespace-toggle-spacetab-check ;;;;;; whitespace-toggle-indent-check whitespace-toggle-trailing-check ;;;;;; whitespace-toggle-leading-check) "whitespace" "whitespace.el" -;;;;;; (18169 11932)) +;;;;;; (18307 57860)) ;;; Generated autoloads from whitespace.el +(put 'whitespace-check-buffer-leading 'safe-local-variable 'booleanp) +(put 'whitespace-check-buffer-trailing 'safe-local-variable 'booleanp) +(put 'whitespace-check-buffer-indent 'safe-local-variable 'booleanp) +(put 'whitespace-check-buffer-spacetab 'safe-local-variable 'booleanp) +(put 'whitespace-check-buffer-ateol 'safe-local-variable 'booleanp) (autoload 'whitespace-toggle-leading-check "whitespace" "\ Toggle the check for leading space in the local buffer. @@ -30874,12 +31393,12 @@ When this mode is active, `whitespace-buffer' is added to Hook function to be called on the buffer when whitespace check is enabled. This is meant to be added buffer-locally to `write-file-functions'. -\(fn)" t nil) +\(fn)" nil nil) ;;;*** ;;;### (autoloads (widget-minor-mode widget-browse-other-window widget-browse -;;;;;; widget-browse-at) "wid-browse" "wid-browse.el" (18149 22003)) +;;;;;; widget-browse-at) "wid-browse" "wid-browse.el" (18307 57860)) ;;; Generated autoloads from wid-browse.el (autoload 'widget-browse-at "wid-browse" "\ @@ -30906,8 +31425,8 @@ With arg, turn widget mode on if and only if arg is positive. ;;;*** ;;;### (autoloads (widget-setup widget-insert widget-delete widget-create -;;;;;; widget-prompt-value widgetp) "wid-edit" "wid-edit.el" (18187 -;;;;;; 36840)) +;;;;;; widget-prompt-value widgetp) "wid-edit" "wid-edit.el" (18307 +;;;;;; 57860)) ;;; Generated autoloads from wid-edit.el (autoload 'widgetp "wid-edit" "\ @@ -30951,8 +31470,8 @@ Setup current buffer so editing string widgets works. ;;;*** ;;;### (autoloads (windmove-default-keybindings windmove-down windmove-right -;;;;;; windmove-up windmove-left) "windmove" "windmove.el" (18088 -;;;;;; 55091)) +;;;;;; windmove-up windmove-left) "windmove" "windmove.el" (18307 +;;;;;; 57860)) ;;; Generated autoloads from windmove.el (autoload 'windmove-left "windmove" "\ @@ -31005,7 +31524,7 @@ Default MODIFIER is 'shift. ;;;*** ;;;### (autoloads (winner-mode winner-mode) "winner" "winner.el" -;;;;;; (18120 34750)) +;;;;;; (18307 57860)) ;;; Generated autoloads from winner.el (defvar winner-mode nil "\ @@ -31024,7 +31543,7 @@ With arg, turn Winner mode on if and only if arg is positive. ;;;*** ;;;### (autoloads (woman-find-file woman-dired-find-file woman) "woman" -;;;;;; "woman.el" (18197 21670)) +;;;;;; "woman.el" (18313 19473)) ;;; Generated autoloads from woman.el (autoload 'woman "woman" "\ @@ -31060,7 +31579,7 @@ decompress the file if appropriate. See the documentation for the ;;;*** ;;;### (autoloads (wordstar-mode) "ws-mode" "emulation/ws-mode.el" -;;;;;; (18088 55097)) +;;;;;; (18307 57864)) ;;; Generated autoloads from emulation/ws-mode.el (autoload 'wordstar-mode "ws-mode" "\ @@ -31173,7 +31692,7 @@ The key bindings are: ;;;*** ;;;### (autoloads (xml-parse-region xml-parse-file) "xml" "xml.el" -;;;;;; (18088 55091)) +;;;;;; (18307 57860)) ;;; Generated autoloads from xml.el (autoload 'xml-parse-file "xml" "\ @@ -31198,8 +31717,27 @@ If PARSE-NS is non-nil, then QNAMES are expanded. ;;;*** -;;;### (autoloads (xterm-mouse-mode) "xt-mouse" "xt-mouse.el" (18200 -;;;;;; 51264)) +;;;### (autoloads (xmltok-get-declared-encoding-position) "xmltok" +;;;;;; "nxml/xmltok.el" (18308 19808)) +;;; Generated autoloads from nxml/xmltok.el + +(autoload 'xmltok-get-declared-encoding-position "xmltok" "\ +Return the position of the encoding in the XML declaration at point. +If there is a well-formed XML declaration starting at point and it +contains an encoding declaration, then return (START . END) +where START and END are the positions of the start and the end +of the encoding name; if there is no encoding declaration return +the position where and encoding declaration could be inserted. +If there is XML that is not well-formed that looks like an XML declaration, +return nil. Otherwise, return t. +If LIMIT is non-nil, then do not consider characters beyond LIMIT. + +\(fn &optional LIMIT)" nil nil) + +;;;*** + +;;;### (autoloads (xterm-mouse-mode) "xt-mouse" "xt-mouse.el" (18307 +;;;;;; 57860)) ;;; Generated autoloads from xt-mouse.el (defvar xterm-mouse-mode nil "\ @@ -31228,7 +31766,7 @@ down the SHIFT key while pressing the mouse button. ;;;*** ;;;### (autoloads (yenc-extract-filename yenc-decode-region) "yenc" -;;;;;; "gnus/yenc.el" (18088 55107)) +;;;;;; "gnus/yenc.el" (18307 57866)) ;;; Generated autoloads from gnus/yenc.el (autoload 'yenc-decode-region "yenc" "\ @@ -31244,7 +31782,7 @@ Extract file name from an yenc header. ;;;*** ;;;### (autoloads (psychoanalyze-pinhead apropos-zippy insert-zippyism -;;;;;; yow) "yow" "play/yow.el" (18203 37788)) +;;;;;; yow) "yow" "play/yow.el" (18307 57870)) ;;; Generated autoloads from play/yow.el (autoload 'yow "yow" "\ @@ -31270,7 +31808,7 @@ Zippy goes to the analyst. ;;;*** -;;;### (autoloads (zone) "zone" "play/zone.el" (18202 4002)) +;;;### (autoloads (zone) "zone" "play/zone.el" (18307 57870)) ;;; Generated autoloads from play/zone.el (autoload 'zone "zone" "\ @@ -31287,61 +31825,59 @@ Zone out, completely. ;;;;;; "calc/calc-frac.el" "calc/calc-funcs.el" "calc/calc-graph.el" ;;;;;; "calc/calc-help.el" "calc/calc-incom.el" "calc/calc-keypd.el" ;;;;;; "calc/calc-lang.el" "calc/calc-macs.el" "calc/calc-map.el" -;;;;;; "calc/calc-math.el" "calc/calc-misc.el" "calc/calc-mode.el" -;;;;;; "calc/calc-mtx.el" "calc/calc-nlfit.el" "calc/calc-poly.el" -;;;;;; "calc/calc-prog.el" "calc/calc-rewr.el" "calc/calc-rules.el" -;;;;;; "calc/calc-sel.el" "calc/calc-stat.el" "calc/calc-store.el" -;;;;;; "calc/calc-stuff.el" "calc/calc-trail.el" "calc/calc-undo.el" -;;;;;; "calc/calc-units.el" "calc/calc-vec.el" "calc/calc-yank.el" -;;;;;; "calc/calcalg2.el" "calc/calcalg3.el" "calc/calccomp.el" -;;;;;; "calc/calcsel2.el" "calendar/cal-bahai.el" "calendar/cal-china.el" -;;;;;; "calendar/cal-coptic.el" "calendar/cal-french.el" "calendar/cal-html.el" -;;;;;; "calendar/cal-islam.el" "calendar/cal-iso.el" "calendar/cal-julian.el" -;;;;;; "calendar/cal-mayan.el" "calendar/cal-menu.el" "calendar/cal-move.el" -;;;;;; "calendar/cal-persia.el" "calendar/cal-tex.el" "calendar/cal-x.el" -;;;;;; "case-table.el" "cdl.el" "cus-dep.el" "cus-load.el" "cus-start.el" -;;;;;; "custom.el" "dframe.el" "dos-fns.el" "dos-vars.el" "dos-w32.el" -;;;;;; "ediff-diff.el" "ediff-init.el" "ediff-merg.el" "ediff-ptch.el" -;;;;;; "ediff-vers.el" "ediff-wind.el" "electric.el" "emacs-lisp/assoc.el" -;;;;;; "emacs-lisp/authors.el" "emacs-lisp/avl-tree.el" "emacs-lisp/bindat.el" -;;;;;; "emacs-lisp/byte-opt.el" "emacs-lisp/byte-run.el" "emacs-lisp/cl-compat.el" -;;;;;; "emacs-lisp/cl-extra.el" "emacs-lisp/cl-loaddefs.el" "emacs-lisp/cl-macs.el" -;;;;;; "emacs-lisp/cl-seq.el" "emacs-lisp/cl-specs.el" "emacs-lisp/cust-print.el" -;;;;;; "emacs-lisp/find-gc.el" "emacs-lisp/float-sup.el" "emacs-lisp/gulp.el" -;;;;;; "emacs-lisp/levents.el" "emacs-lisp/lisp-mnt.el" "emacs-lisp/lisp-mode.el" -;;;;;; "emacs-lisp/lisp.el" "emacs-lisp/lmenu.el" "emacs-lisp/lselect.el" +;;;;;; "calc/calc-math.el" "calc/calc-menu.el" "calc/calc-misc.el" +;;;;;; "calc/calc-mode.el" "calc/calc-mtx.el" "calc/calc-nlfit.el" +;;;;;; "calc/calc-poly.el" "calc/calc-prog.el" "calc/calc-rewr.el" +;;;;;; "calc/calc-rules.el" "calc/calc-sel.el" "calc/calc-stat.el" +;;;;;; "calc/calc-store.el" "calc/calc-stuff.el" "calc/calc-trail.el" +;;;;;; "calc/calc-undo.el" "calc/calc-units.el" "calc/calc-vec.el" +;;;;;; "calc/calc-yank.el" "calc/calcalg2.el" "calc/calcalg3.el" +;;;;;; "calc/calccomp.el" "calc/calcsel2.el" "calendar/cal-bahai.el" +;;;;;; "calendar/cal-china.el" "calendar/cal-coptic.el" "calendar/cal-french.el" +;;;;;; "calendar/cal-html.el" "calendar/cal-islam.el" "calendar/cal-iso.el" +;;;;;; "calendar/cal-julian.el" "calendar/cal-mayan.el" "calendar/cal-menu.el" +;;;;;; "calendar/cal-move.el" "calendar/cal-persia.el" "calendar/cal-tex.el" +;;;;;; "calendar/cal-x.el" "case-table.el" "cdl.el" "cus-dep.el" +;;;;;; "cus-start.el" "custom.el" "dframe.el" "dos-fns.el" "dos-vars.el" +;;;;;; "dos-w32.el" "ediff-diff.el" "ediff-init.el" "ediff-merg.el" +;;;;;; "ediff-ptch.el" "ediff-vers.el" "ediff-wind.el" "electric.el" +;;;;;; "emacs-lisp/assoc.el" "emacs-lisp/authors.el" "emacs-lisp/avl-tree.el" +;;;;;; "emacs-lisp/bindat.el" "emacs-lisp/byte-opt.el" "emacs-lisp/byte-run.el" +;;;;;; "emacs-lisp/cl-compat.el" "emacs-lisp/cl-extra.el" "emacs-lisp/cl-loaddefs.el" +;;;;;; "emacs-lisp/cl-macs.el" "emacs-lisp/cl-seq.el" "emacs-lisp/cl-specs.el" +;;;;;; "emacs-lisp/cust-print.el" "emacs-lisp/find-gc.el" "emacs-lisp/float-sup.el" +;;;;;; "emacs-lisp/gulp.el" "emacs-lisp/levents.el" "emacs-lisp/lisp-mnt.el" +;;;;;; "emacs-lisp/lisp-mode.el" "emacs-lisp/lisp.el" "emacs-lisp/lmenu.el" ;;;;;; "emacs-lisp/lucid.el" "emacs-lisp/map-ynp.el" "emacs-lisp/regi.el" ;;;;;; "emacs-lisp/sregex.el" "emacs-lisp/syntax.el" "emacs-lisp/tcover-ses.el" ;;;;;; "emacs-lisp/tcover-unsafep.el" "emacs-lock.el" "emulation/cua-gmrk.el" ;;;;;; "emulation/cua-rect.el" "emulation/edt-lk201.el" "emulation/edt-mapper.el" ;;;;;; "emulation/edt-pc.el" "emulation/edt-vt100.el" "emulation/tpu-extras.el" -;;;;;; "emulation/tpu-mapper.el" "emulation/viper-cmd.el" "emulation/viper-ex.el" -;;;;;; "emulation/viper-init.el" "emulation/viper-keym.el" "emulation/viper-macs.el" -;;;;;; "emulation/viper-mous.el" "emulation/viper-util.el" "env.el" -;;;;;; "erc/erc-backend.el" "erc/erc-goodies.el" "erc/erc-ibuffer.el" -;;;;;; "erc/erc-lang.el" "eshell/em-alias.el" "eshell/em-banner.el" -;;;;;; "eshell/em-basic.el" "eshell/em-cmpl.el" "eshell/em-dirs.el" -;;;;;; "eshell/em-glob.el" "eshell/em-hist.el" "eshell/em-ls.el" -;;;;;; "eshell/em-pred.el" "eshell/em-prompt.el" "eshell/em-rebind.el" -;;;;;; "eshell/em-script.el" "eshell/em-smart.el" "eshell/em-term.el" -;;;;;; "eshell/em-unix.el" "eshell/em-xtra.el" "eshell/esh-arg.el" -;;;;;; "eshell/esh-cmd.el" "eshell/esh-ext.el" "eshell/esh-groups.el" -;;;;;; "eshell/esh-io.el" "eshell/esh-maint.el" "eshell/esh-module.el" -;;;;;; "eshell/esh-opt.el" "eshell/esh-proc.el" "eshell/esh-util.el" -;;;;;; "eshell/esh-var.el" "ezimage.el" "faces.el" "files.el" "finder-inf.el" -;;;;;; "foldout.el" "font-core.el" "font-lock.el" "format.el" "forms-d2.el" -;;;;;; "forms-pass.el" "frame.el" "fringe.el" "generic-x.el" "gnus/compface.el" -;;;;;; "gnus/dig.el" "gnus/dns.el" "gnus/format-spec.el" "gnus/gnus-async.el" -;;;;;; "gnus/gnus-bcklg.el" "gnus/gnus-cite.el" "gnus/gnus-cus.el" -;;;;;; "gnus/gnus-demon.el" "gnus/gnus-dup.el" "gnus/gnus-eform.el" -;;;;;; "gnus/gnus-ems.el" "gnus/gnus-int.el" "gnus/gnus-logic.el" -;;;;;; "gnus/gnus-mh.el" "gnus/gnus-salt.el" "gnus/gnus-score.el" -;;;;;; "gnus/gnus-setup.el" "gnus/gnus-srvr.el" "gnus/gnus-sum.el" -;;;;;; "gnus/gnus-topic.el" "gnus/gnus-undo.el" "gnus/gnus-util.el" -;;;;;; "gnus/gnus-uu.el" "gnus/gnus-vm.el" "gnus/hex-util.el" "gnus/hmac-def.el" -;;;;;; "gnus/hmac-md5.el" "gnus/ietf-drums.el" "gnus/imap.el" "gnus/legacy-gnus-agent.el" +;;;;;; "emulation/viper-cmd.el" "emulation/viper-ex.el" "emulation/viper-init.el" +;;;;;; "emulation/viper-keym.el" "emulation/viper-macs.el" "emulation/viper-mous.el" +;;;;;; "emulation/viper-util.el" "env.el" "erc/erc-backend.el" "erc/erc-goodies.el" +;;;;;; "erc/erc-ibuffer.el" "erc/erc-lang.el" "eshell/em-alias.el" +;;;;;; "eshell/em-banner.el" "eshell/em-basic.el" "eshell/em-cmpl.el" +;;;;;; "eshell/em-dirs.el" "eshell/em-glob.el" "eshell/em-hist.el" +;;;;;; "eshell/em-ls.el" "eshell/em-pred.el" "eshell/em-prompt.el" +;;;;;; "eshell/em-rebind.el" "eshell/em-script.el" "eshell/em-smart.el" +;;;;;; "eshell/em-term.el" "eshell/em-unix.el" "eshell/em-xtra.el" +;;;;;; "eshell/esh-arg.el" "eshell/esh-cmd.el" "eshell/esh-ext.el" +;;;;;; "eshell/esh-groups.el" "eshell/esh-io.el" "eshell/esh-maint.el" +;;;;;; "eshell/esh-module.el" "eshell/esh-opt.el" "eshell/esh-proc.el" +;;;;;; "eshell/esh-util.el" "eshell/esh-var.el" "ezimage.el" "faces.el" +;;;;;; "files.el" "foldout.el" "font-core.el" "font-lock.el" "format-spec.el" +;;;;;; "format.el" "forms-d2.el" "forms-pass.el" "frame.el" "fringe.el" +;;;;;; "generic-x.el" "gnus/compface.el" "gnus/gnus-async.el" "gnus/gnus-bcklg.el" +;;;;;; "gnus/gnus-cite.el" "gnus/gnus-cus.el" "gnus/gnus-demon.el" +;;;;;; "gnus/gnus-dup.el" "gnus/gnus-eform.el" "gnus/gnus-ems.el" +;;;;;; "gnus/gnus-int.el" "gnus/gnus-logic.el" "gnus/gnus-mh.el" +;;;;;; "gnus/gnus-salt.el" "gnus/gnus-score.el" "gnus/gnus-setup.el" +;;;;;; "gnus/gnus-srvr.el" "gnus/gnus-sum.el" "gnus/gnus-topic.el" +;;;;;; "gnus/gnus-undo.el" "gnus/gnus-util.el" "gnus/gnus-uu.el" +;;;;;; "gnus/gnus-vm.el" "gnus/ietf-drums.el" "gnus/legacy-gnus-agent.el" ;;;;;; "gnus/mail-parse.el" "gnus/mail-prsvr.el" "gnus/mail-source.el" -;;;;;; "gnus/mailcap.el" "gnus/md4.el" "gnus/messcompat.el" "gnus/mm-bodies.el" +;;;;;; "gnus/mailcap.el" "gnus/messcompat.el" "gnus/mm-bodies.el" ;;;;;; "gnus/mm-decode.el" "gnus/mm-encode.el" "gnus/mm-util.el" ;;;;;; "gnus/mm-view.el" "gnus/mml-sec.el" "gnus/mml-smime.el" "gnus/mml.el" ;;;;;; "gnus/nnagent.el" "gnus/nnbabyl.el" "gnus/nndb.el" "gnus/nndir.el" @@ -31350,14 +31886,13 @@ Zone out, completely. ;;;;;; "gnus/nnmail.el" "gnus/nnmaildir.el" "gnus/nnmbox.el" "gnus/nnmh.el" ;;;;;; "gnus/nnnil.el" "gnus/nnoo.el" "gnus/nnrss.el" "gnus/nnslashdot.el" ;;;;;; "gnus/nnspool.el" "gnus/nntp.el" "gnus/nnultimate.el" "gnus/nnvirtual.el" -;;;;;; "gnus/nnwarchive.el" "gnus/nnweb.el" "gnus/nnwfm.el" "gnus/ntlm.el" -;;;;;; "gnus/password.el" "gnus/pop3.el" "gnus/rfc1843.el" "gnus/rfc2045.el" -;;;;;; "gnus/rfc2047.el" "gnus/rfc2104.el" "gnus/rfc2231.el" "gnus/sasl-cram.el" -;;;;;; "gnus/sasl-digest.el" "gnus/sasl-ntlm.el" "gnus/sasl.el" -;;;;;; "gnus/sieve-manage.el" "gnus/smime-ldap.el" "gnus/smime.el" +;;;;;; "gnus/nnwarchive.el" "gnus/nnweb.el" "gnus/nnwfm.el" "gnus/pop3.el" +;;;;;; "gnus/rfc1843.el" "gnus/rfc2045.el" "gnus/rfc2047.el" "gnus/rfc2104.el" +;;;;;; "gnus/rfc2231.el" "gnus/sieve-manage.el" "gnus/smime.el" ;;;;;; "gnus/spam-stat.el" "gnus/spam-wash.el" "gnus/starttls.el" -;;;;;; "gnus/utf7.el" "gnus/webmail.el" "help.el" "indent.el" "international/characters.el" -;;;;;; "international/fontset.el" "international/iso-ascii.el" "international/ja-dic-cnv.el" +;;;;;; "gnus/utf7.el" "gnus/webmail.el" "help.el" "hex-util.el" +;;;;;; "indent.el" "international/characters.el" "international/fontset.el" +;;;;;; "international/iso-ascii.el" "international/ja-dic-cnv.el" ;;;;;; "international/ja-dic-utl.el" "international/latin-1.el" ;;;;;; "international/latin-2.el" "international/latin-3.el" "international/latin-4.el" ;;;;;; "international/latin-5.el" "international/latin-8.el" "international/latin-9.el" @@ -31378,44 +31913,51 @@ Zone out, completely. ;;;;;; "ldefs-boot.el" "loadup.el" "mail/blessmail.el" "mail/mailheader.el" ;;;;;; "mail/mailpost.el" "mail/mspools.el" "mail/rfc2368.el" "mail/rfc822.el" ;;;;;; "mail/rmail-spam-filter.el" "mail/uce.el" "mail/vms-pmail.el" -;;;;;; "mh-e/mh-acros.el" "mh-e/mh-alias.el" "mh-e/mh-buffers.el" +;;;;;; "md4.el" "mh-e/mh-acros.el" "mh-e/mh-alias.el" "mh-e/mh-buffers.el" ;;;;;; "mh-e/mh-compat.el" "mh-e/mh-funcs.el" "mh-e/mh-gnus.el" ;;;;;; "mh-e/mh-identity.el" "mh-e/mh-inc.el" "mh-e/mh-junk.el" ;;;;;; "mh-e/mh-letter.el" "mh-e/mh-limit.el" "mh-e/mh-loaddefs.el" ;;;;;; "mh-e/mh-mime.el" "mh-e/mh-print.el" "mh-e/mh-scan.el" "mh-e/mh-search.el" ;;;;;; "mh-e/mh-seq.el" "mh-e/mh-show.el" "mh-e/mh-speed.el" "mh-e/mh-thread.el" ;;;;;; "mh-e/mh-tool-bar.el" "mh-e/mh-utils.el" "mh-e/mh-xface.el" -;;;;;; "misc.el" "mouse-copy.el" "mouse-drag.el" "mouse.el" "net/eudc-vars.el" -;;;;;; "net/eudcb-bbdb.el" "net/eudcb-ldap.el" "net/eudcb-mab.el" -;;;;;; "net/eudcb-ph.el" "net/ldap.el" "net/netrc.el" "net/socks.el" -;;;;;; "net/tls.el" "net/tramp-cache.el" "net/tramp-cmds.el" "net/tramp-compat.el" -;;;;;; "net/tramp-fish.el" "net/tramp-gw.el" "net/tramp-smb.el" -;;;;;; "net/tramp-uu.el" "net/trampver.el" "patcomp.el" "paths.el" -;;;;;; "pcvs-info.el" "pcvs-parse.el" "pcvs-util.el" "pgg-def.el" -;;;;;; "pgg-parse.el" "pgg-pgp.el" "pgg-pgp5.el" "play/gamegrid.el" -;;;;;; "play/gametree.el" "play/meese.el" "progmodes/ada-prj.el" -;;;;;; "progmodes/cc-align.el" "progmodes/cc-awk.el" "progmodes/cc-bytecomp.el" -;;;;;; "progmodes/cc-cmds.el" "progmodes/cc-defs.el" "progmodes/cc-fonts.el" -;;;;;; "progmodes/cc-langs.el" "progmodes/cc-menus.el" "progmodes/ebnf-abn.el" -;;;;;; "progmodes/ebnf-bnf.el" "progmodes/ebnf-dtd.el" "progmodes/ebnf-ebx.el" -;;;;;; "progmodes/ebnf-iso.el" "progmodes/ebnf-otz.el" "progmodes/ebnf-yac.el" -;;;;;; "progmodes/idlw-complete-structtag.el" "progmodes/idlw-help.el" -;;;;;; "progmodes/idlw-toolbar.el" "progmodes/mantemp.el" "progmodes/xscheme.el" -;;;;;; "ps-mule.el" "register.el" "replace.el" "rfn-eshadow.el" -;;;;;; "s-region.el" "saveplace.el" "sb-image.el" "scroll-bar.el" -;;;;;; "select.el" "soundex.el" "startup.el" "subdirs.el" "tempo.el" -;;;;;; "textmodes/bib-mode.el" "textmodes/makeinfo.el" "textmodes/page-ext.el" -;;;;;; "textmodes/page.el" "textmodes/refbib.el" "textmodes/refer.el" -;;;;;; "textmodes/reftex-auc.el" "textmodes/reftex-dcr.el" "textmodes/reftex-ref.el" -;;;;;; "textmodes/reftex-sel.el" "textmodes/reftex-toc.el" "textmodes/texnfo-upd.el" -;;;;;; "textmodes/text-mode.el" "timezone.el" "tooltip.el" "tree-widget.el" -;;;;;; "uniquify.el" "url/url-about.el" "url/url-cookie.el" "url/url-dired.el" -;;;;;; "url/url-expand.el" "url/url-ftp.el" "url/url-history.el" -;;;;;; "url/url-imap.el" "url/url-methods.el" "url/url-nfs.el" "url/url-proxy.el" -;;;;;; "url/url-vars.el" "url/vc-dav.el" "vc-hooks.el" "vcursor.el" -;;;;;; "version.el" "vms-patch.el" "vmsproc.el" "vt-control.el" -;;;;;; "vt100-led.el" "w32-fns.el" "w32-vars.el" "widget.el" "window.el" -;;;;;; "x-dnd.el") (18214 7224 993409)) +;;;;;; "misc.el" "mouse-copy.el" "mouse-drag.el" "mouse.el" "net/dig.el" +;;;;;; "net/dns.el" "net/eudc-vars.el" "net/eudcb-bbdb.el" "net/eudcb-ldap.el" +;;;;;; "net/eudcb-mab.el" "net/eudcb-ph.el" "net/hmac-def.el" "net/hmac-md5.el" +;;;;;; "net/imap.el" "net/ldap.el" "net/netrc.el" "net/ntlm.el" +;;;;;; "net/sasl-cram.el" "net/sasl-digest.el" "net/sasl-ntlm.el" +;;;;;; "net/sasl.el" "net/socks.el" "net/tls.el" "net/tramp-cache.el" +;;;;;; "net/tramp-cmds.el" "net/tramp-compat.el" "net/tramp-fish.el" +;;;;;; "net/tramp-gw.el" "net/tramp-smb.el" "net/tramp-uu.el" "net/trampver.el" +;;;;;; "nxml/nxml-enc.el" "nxml/nxml-maint.el" "nxml/nxml-ns.el" +;;;;;; "nxml/nxml-outln.el" "nxml/nxml-parse.el" "nxml/nxml-rap.el" +;;;;;; "nxml/nxml-util.el" "nxml/rng-dt.el" "nxml/rng-loc.el" "nxml/rng-maint.el" +;;;;;; "nxml/rng-match.el" "nxml/rng-parse.el" "nxml/rng-pttrn.el" +;;;;;; "nxml/rng-uri.el" "nxml/rng-util.el" "nxml/xsd-regexp.el" +;;;;;; "password-cache.el" "patcomp.el" "paths.el" "pcvs-info.el" +;;;;;; "pcvs-parse.el" "pcvs-util.el" "pgg-def.el" "pgg-parse.el" +;;;;;; "pgg-pgp.el" "pgg-pgp5.el" "play/gamegrid.el" "play/gametree.el" +;;;;;; "play/meese.el" "progmodes/ada-prj.el" "progmodes/cc-align.el" +;;;;;; "progmodes/cc-awk.el" "progmodes/cc-bytecomp.el" "progmodes/cc-cmds.el" +;;;;;; "progmodes/cc-defs.el" "progmodes/cc-fonts.el" "progmodes/cc-langs.el" +;;;;;; "progmodes/cc-menus.el" "progmodes/ebnf-abn.el" "progmodes/ebnf-bnf.el" +;;;;;; "progmodes/ebnf-dtd.el" "progmodes/ebnf-ebx.el" "progmodes/ebnf-iso.el" +;;;;;; "progmodes/ebnf-otz.el" "progmodes/ebnf-yac.el" "progmodes/idlw-complete-structtag.el" +;;;;;; "progmodes/idlw-help.el" "progmodes/idlw-toolbar.el" "progmodes/mantemp.el" +;;;;;; "progmodes/xscheme.el" "ps-mule.el" "register.el" "replace.el" +;;;;;; "rfn-eshadow.el" "s-region.el" "saveplace.el" "sb-image.el" +;;;;;; "scroll-bar.el" "select.el" "soundex.el" "startup.el" "subdirs.el" +;;;;;; "tempo.el" "textmodes/bib-mode.el" "textmodes/makeinfo.el" +;;;;;; "textmodes/page-ext.el" "textmodes/page.el" "textmodes/refbib.el" +;;;;;; "textmodes/refer.el" "textmodes/reftex-auc.el" "textmodes/reftex-dcr.el" +;;;;;; "textmodes/reftex-ref.el" "textmodes/reftex-sel.el" "textmodes/reftex-toc.el" +;;;;;; "textmodes/texnfo-upd.el" "textmodes/text-mode.el" "timezone.el" +;;;;;; "tooltip.el" "tree-widget.el" "uniquify.el" "url/url-about.el" +;;;;;; "url/url-cookie.el" "url/url-dired.el" "url/url-expand.el" +;;;;;; "url/url-ftp.el" "url/url-history.el" "url/url-imap.el" "url/url-methods.el" +;;;;;; "url/url-nfs.el" "url/url-proxy.el" "url/url-vars.el" "url/vc-dav.el" +;;;;;; "vc-hooks.el" "vcursor.el" "version.el" "vms-patch.el" "vmsproc.el" +;;;;;; "vt-control.el" "vt100-led.el" "w32-fns.el" "w32-vars.el" +;;;;;; "widget.el" "window.el" "x-dnd.el") (18317 32734 645076)) ;;;*** |