summaryrefslogtreecommitdiff
path: root/riscv_new/tests/tbs/tb0193.pp
diff options
context:
space:
mode:
Diffstat (limited to 'riscv_new/tests/tbs/tb0193.pp')
-rw-r--r--riscv_new/tests/tbs/tb0193.pp7
1 files changed, 7 insertions, 0 deletions
diff --git a/riscv_new/tests/tbs/tb0193.pp b/riscv_new/tests/tbs/tb0193.pp
index 7f3d4c6d94..640089b4ea 100644
--- a/riscv_new/tests/tbs/tb0193.pp
+++ b/riscv_new/tests/tbs/tb0193.pp
@@ -63,6 +63,13 @@ end;
end;
{$define implemented}
{$endif cpuaarch64}
+{$ifdef cpuriscv64}
+.L1:
+ auipc a0,%pcrel_hi(stacksize)
+ ld a0, %pcrel_lo(.L1)(a0)
+end;
+{$define implemented}
+{$endif cpuriscv64}
{$ifndef implemented}
{$error This test does not supported this CPU}
end;