summaryrefslogtreecommitdiff
path: root/tests/test/cg/tcalvar1.pp
diff options
context:
space:
mode:
Diffstat (limited to 'tests/test/cg/tcalvar1.pp')
-rw-r--r--tests/test/cg/tcalvar1.pp2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/test/cg/tcalvar1.pp b/tests/test/cg/tcalvar1.pp
index 89adf4a79c..ab0c7eaad8 100644
--- a/tests/test/cg/tcalvar1.pp
+++ b/tests/test/cg/tcalvar1.pp
@@ -32,7 +32,7 @@ program tcalvar1;
{$ifdef cpu68k}
{$define cpusmall}
{$endif}
-{$ifdef cpu8086}
+{$ifdef cpui8086}
{$define cpusmall}
{$endif}