summaryrefslogtreecommitdiff
path: root/tests/webtbf/tw3183.pp
blob: 3a6663539cd460ee69552cc1b6af14b8f4456f56 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
{ %fail }

{$ifdef fpc}{$mode delphi}{$endif}

type
  IA=interface
   function copy:String;
  end;

  IB=interface(IA)
   function copy:integer;
  end;

  to1 = class(tinterfacedobject,ia,ib)
    function copy:string;
  end;

function to1.copy:string;
  begin
  end;

begin
end.