summaryrefslogtreecommitdiff
path: root/bfd/verilog.c
diff options
context:
space:
mode:
Diffstat (limited to 'bfd/verilog.c')
-rw-r--r--bfd/verilog.c6
1 files changed, 3 insertions, 3 deletions
diff --git a/bfd/verilog.c b/bfd/verilog.c
index 175e1f0de21..2c608490907 100644
--- a/bfd/verilog.c
+++ b/bfd/verilog.c
@@ -114,7 +114,7 @@ verilog_set_section_contents (bfd *abfd,
tdata_type *tdata = abfd->tdata.verilog_data;
verilog_data_list_type *entry;
- entry = bfd_alloc (abfd, sizeof (* entry));
+ entry = (verilog_data_list_type *) bfd_alloc (abfd, sizeof (* entry));
if (entry == NULL)
return FALSE;
@@ -124,7 +124,7 @@ verilog_set_section_contents (bfd *abfd,
{
bfd_byte *data;
- data = bfd_alloc (abfd, bytes_to_do);
+ data = (bfd_byte *) bfd_alloc (abfd, bytes_to_do);
if (data == NULL)
return FALSE;
memcpy ((void *) data, location, (size_t) bytes_to_do);
@@ -283,7 +283,7 @@ verilog_mkobject (bfd *abfd)
verilog_init ();
- tdata = bfd_alloc (abfd, sizeof (tdata_type));
+ tdata = (tdata_type *) bfd_alloc (abfd, sizeof (tdata_type));
if (tdata == NULL)
return FALSE;