From 91d7f87570ee8140974e861144c8793cffbb60b8 Mon Sep 17 00:00:00 2001 From: Hans-Peter Nilsson Date: Thu, 20 Jul 2000 16:21:07 +0000 Subject: * Makefile.am (ALL_MACHINES): Add cpu-cris.lo. (ALL_MACHINES_CFILES): Add cpu-cris.c. (BFD32_BACKENDS): Add aout-cris.lo and elf32-cris.lo. (BFD32_BACKENDS_CFILES): Add aout-cris.c and elf32-cris.c. (cpu-cris.lo, aout-cris.lo, elf32-cris.lo): New rules. * Makefile.in: Rebuild. * aclocal.m4: Rebuild. * aoutx.h (NAME(aout,machine_type)): Add case for bfd_arch_cris. * archures.c (enum bfd_architecture): Add bfd_arch_cris. (bfd_cris_arch): Declare. (bfd_archures_list): Add bfd_cris_arch. * bfd-in2.h: Rebuild. * config.bfd: (cris-*-*): New target. * configure.in (bfd_elf32_cris_vec, cris_aout_vec): New vectors. * configure: Rebuild. * elf.c (prep_headers): Add bfd_arch_cris. * libbfd.h: Rebuild. * libaout.h (enum machine_type): Add M_CRIS. * reloc.c: Add CRIS relocations. * targets.c (bfd_target bfd_elf32_cris_vec, cris_aout_vec): Declare. (bfd_target_vect): Add bfd_elf32_cris_vec and cris_aout_vec. * cpu-cris.c, aout-cris.c, elf32-cris.c: New files. * po/POTFILES.in, po/bfd.pot: Regenerate. --- bfd/archures.c | 3 +++ 1 file changed, 3 insertions(+) (limited to 'bfd/archures.c') diff --git a/bfd/archures.c b/bfd/archures.c index 15077bc4b7c..3b68c320f41 100644 --- a/bfd/archures.c +++ b/bfd/archures.c @@ -230,6 +230,7 @@ DESCRIPTION .#define bfd_mach_avr3 3 .#define bfd_mach_avr4 4 .#define bfd_mach_avr5 5 +. bfd_arch_cris, {* Axis CRIS *} . bfd_arch_last . }; @@ -272,6 +273,7 @@ extern const bfd_arch_info_type bfd_a29k_arch; extern const bfd_arch_info_type bfd_alpha_arch; extern const bfd_arch_info_type bfd_arc_arch; extern const bfd_arch_info_type bfd_arm_arch; +extern const bfd_arch_info_type bfd_cris_arch; extern const bfd_arch_info_type bfd_d10v_arch; extern const bfd_arch_info_type bfd_d30v_arch; extern const bfd_arch_info_type bfd_h8300_arch; @@ -317,6 +319,7 @@ static const bfd_arch_info_type * const bfd_archures_list[] = &bfd_alpha_arch, &bfd_arc_arch, &bfd_arm_arch, + &bfd_cris_arch, &bfd_d10v_arch, &bfd_d30v_arch, &bfd_h8300_arch, -- cgit v1.2.1