summaryrefslogtreecommitdiff
path: root/sim/testsuite/sim/m32r/cmp.cgs
blob: 6ea6720621812fea963b6882d96b7e1aa8f1420a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
# m32r testcase for cmp $src1,$src2
# mach(): m32r m32rx

	.include "testutils.inc"

	start

	.global cmp
cmp:
	mvi_h_condbit 0
	mvi_h_gr r4, 1
	mvi_h_gr r5, 2
	cmp r4, r5
	bc ok
not_ok:
	fail
ok:
	mvi_h_condbit 1
	mvi_h_gr r4, 2
	cmp r4, r5
	bc not_ok

	pass