summaryrefslogtreecommitdiff
path: root/sim/testsuite/sim/m32r/cmpu.cgs
blob: e0b4ef10180b473ff8a2ac997a850e787cbf0e43 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
# m32r testcase for cmpu $src1,$src2
# mach(): m32r m32rx

	.include "testutils.inc"

	start

	.global cmpu
cmpu:
	mvi_h_condbit 0
	mvi_h_gr r4, 1
	mvi_h_gr r5, -2
	cmpu r4, r5
	bc ok
not_ok:
	fail
ok:
	mvi_h_condbit 1
	mvi_h_gr r4, -1
	cmpu r4, r5
	bc not_ok

	pass