summaryrefslogtreecommitdiff
path: root/testsuite/tests/typecheck/should_compile/Tc271a.hs
blob: b5fd136599e08d0640708d67f612d3d1da5c4c48 (plain)
1
2
3
4
5
module Tc271a where
import {-# SOURCE #-} Tc271

h2 :: K a => a -> a
h2 = h