summaryrefslogtreecommitdiff
path: root/os2/OS2/typemap
diff options
context:
space:
mode:
Diffstat (limited to 'os2/OS2/typemap')
-rw-r--r--os2/OS2/typemap28
1 files changed, 28 insertions, 0 deletions
diff --git a/os2/OS2/typemap b/os2/OS2/typemap
new file mode 100644
index 0000000000..12bd58d347
--- /dev/null
+++ b/os2/OS2/typemap
@@ -0,0 +1,28 @@
+BOOL T_IV
+ULONG T_UV
+HINI T_UV
+HAB T_UV
+HWND T_UV
+ATOM T_UV
+HATOMTBL T_UV
+HSWITCH T_UV
+ULONG T_UV
+USHORT T_UV
+LONG T_IV
+SHORT T_IV
+
+PSZ T_PVNULL
+PCSZ T_PVNULLC
+
+#############################################################################
+INPUT
+T_PVNULL
+ $var = ( SvOK($arg) ? ($type)SvPV_nolen($arg) : NULL )
+T_PVNULLC
+ $var = ( SvOK($arg) ? ($type)SvPV_nolen($arg) : NULL )
+#############################################################################
+OUTPUT
+T_PVNULL
+ sv_setpv((SV*)$arg, $var);
+T_PVNULLC
+ NOTIMPLEMENTED