summaryrefslogtreecommitdiff
path: root/ext/standard/tests/assert/assert03.phpt
blob: d839414cabe320a97edeb803993a6ee3bbfd753e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
--TEST--
assert() - set callback using ini_set()
--INI--
assert.active = 1
assert.warning = 0
assert.callback = 
assert.bail = 0
assert.quiet_eval = 1
--FILE--
<?php
function a($file,$line,$myev)
{ 
	        echo "assertion failed - a - $line,\"$myev\"\n";
}
 
function b($file,$line,$myev)
{ 
	        echo "assertion failed - b - $line,\"$myev\"\n";
}
 
assert_options(ASSERT_ACTIVE,1);
assert_options(ASSERT_QUIET_EVAL,1);
assert_options(ASSERT_WARNING,0);
 
$a = 0;
 
assert_options(ASSERT_CALLBACK,"a");
assert('$a != 0');
 
 /* Modify call back using ini_set() */
ini_set("assert.callback", "b");
assert('$a != 0');

?>
==DONE==
--EXPECTF--
assertion failed - a - %d,"$a != 0"
assertion failed - b - %d,"$a != 0"
==DONE==