summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorGeorg Brandl <georg@python.org>2014-11-06 09:54:31 +0100
committerGeorg Brandl <georg@python.org>2014-11-06 09:54:31 +0100
commitdbcecb696374bb9c8fa43641f1c159ff2f1c259b (patch)
tree7b26aa9953acf6a97a99836ae226e29b0fc3230e
parentad95acc37363a5c05b33004f76014289577c9df4 (diff)
downloadpygments-dbcecb696374bb9c8fa43641f1c159ff2f1c259b.tar.gz
HDL: use words()
-rw-r--r--pygments/lexers/hdl.py248
1 files changed, 136 insertions, 112 deletions
diff --git a/pygments/lexers/hdl.py b/pygments/lexers/hdl.py
index 97b654e9..12ad6086 100644
--- a/pygments/lexers/hdl.py
+++ b/pygments/lexers/hdl.py
@@ -10,7 +10,7 @@
"""
import re
-from pygments.lexer import RegexLexer, bygroups, include, using, this
+from pygments.lexer import RegexLexer, bygroups, include, using, this, words
from pygments.token import Text, Comment, Operator, Keyword, Name, String, \
Number, Punctuation, Error
@@ -59,42 +59,54 @@ class VerilogLexer(RegexLexer):
(r'^(\s*)(import)(\s+)', bygroups(Text, Keyword.Namespace, Text),
'import'),
- (r'(always|always_comb|always_ff|always_latch|and|assign|automatic|'
- r'begin|break|buf|bufif0|bufif1|case|casex|casez|cmos|const|'
- r'continue|deassign|default|defparam|disable|do|edge|else|end|endcase|'
- r'endfunction|endgenerate|endmodule|endpackage|endprimitive|endspecify|'
- r'endtable|endtask|enum|event|final|for|force|forever|fork|function|'
- r'generate|genvar|highz0|highz1|if|initial|inout|input|'
- r'integer|join|large|localparam|macromodule|medium|module|'
- r'nand|negedge|nmos|nor|not|notif0|notif1|or|output|packed|'
- r'parameter|pmos|posedge|primitive|pull0|pull1|pulldown|pullup|rcmos|'
- r'ref|release|repeat|return|rnmos|rpmos|rtran|rtranif0|'
- r'rtranif1|scalared|signed|small|specify|specparam|strength|'
- r'string|strong0|strong1|struct|table|task|'
- r'tran|tranif0|tranif1|type|typedef|'
- r'unsigned|var|vectored|void|wait|weak0|weak1|while|'
- r'xnor|xor)\b', Keyword),
-
- (r'`(accelerate|autoexpand_vectornets|celldefine|default_nettype|'
- r'else|elsif|endcelldefine|endif|endprotect|endprotected|'
- r'expand_vectornets|ifdef|ifndef|include|noaccelerate|noexpand_vectornets|'
- r'noremove_gatenames|noremove_netnames|nounconnected_drive|'
- r'protect|protected|remove_gatenames|remove_netnames|resetall|'
- r'timescale|unconnected_drive|undef)\b', Comment.Preproc),
-
- (r'\$(bits|bitstoreal|bitstoshortreal|countdrivers|display|fclose|'
- r'fdisplay|finish|floor|fmonitor|fopen|fstrobe|fwrite|'
- r'getpattern|history|incsave|input|itor|key|list|log|'
- r'monitor|monitoroff|monitoron|nokey|nolog|printtimescale|'
- r'random|readmemb|readmemh|realtime|realtobits|reset|reset_count|'
- r'reset_value|restart|rtoi|save|scale|scope|shortrealtobits|'
- r'showscopes|showvariables|showvars|sreadmemb|sreadmemh|'
- r'stime|stop|strobe|time|timeformat|write)\b', Name.Builtin),
-
- (r'(byte|shortint|int|longint|integer|time|'
- r'bit|logic|reg|'
- r'supply0|supply1|tri|triand|trior|tri0|tri1|trireg|uwire|wire|wand|wor'
- r'shortreal|real|realtime)\b', Keyword.Type),
+ (words((
+ 'always', 'always_comb', 'always_ff', 'always_latch', 'and',
+ 'assign', 'automatic', 'begin', 'break', 'buf', 'bufif0', 'bufif1',
+ 'case', 'casex', 'casez', 'cmos', 'const', 'continue', 'deassign',
+ 'default', 'defparam', 'disable', 'do', 'edge', 'else', 'end', 'endcase',
+ 'endfunction', 'endgenerate', 'endmodule', 'endpackage', 'endprimitive',
+ 'endspecify', 'endtable', 'endtask', 'enum', 'event', 'final', 'for',
+ 'force', 'forever', 'fork', 'function', 'generate', 'genvar', 'highz0',
+ 'highz1', 'if', 'initial', 'inout', 'input', 'integer', 'join', 'large',
+ 'localparam', 'macromodule', 'medium', 'module', 'nand', 'negedge',
+ 'nmos', 'nor', 'not', 'notif0', 'notif1', 'or', 'output', 'packed',
+ 'parameter', 'pmos', 'posedge', 'primitive', 'pull0', 'pull1',
+ 'pulldown', 'pullup', 'rcmos', 'ref', 'release', 'repeat', 'return',
+ 'rnmos', 'rpmos', 'rtran', 'rtranif0', 'rtranif1', 'scalared', 'signed',
+ 'small', 'specify', 'specparam', 'strength', 'string', 'strong0',
+ 'strong1', 'struct', 'table', 'task', 'tran', 'tranif0', 'tranif1',
+ 'type', 'typedef', 'unsigned', 'var', 'vectored', 'void', 'wait',
+ 'weak0', 'weak1', 'while', 'xnor', 'xor'), suffix=r'\b'),
+ Keyword),
+
+ (words((
+ 'accelerate', 'autoexpand_vectornets', 'celldefine', 'default_nettype',
+ 'else', 'elsif', 'endcelldefine', 'endif', 'endprotect', 'endprotected',
+ 'expand_vectornets', 'ifdef', 'ifndef', 'include', 'noaccelerate',
+ 'noexpand_vectornets', 'noremove_gatenames', 'noremove_netnames',
+ 'nounconnected_drive', 'protect', 'protected', 'remove_gatenames',
+ 'remove_netnames', 'resetall', 'timescale', 'unconnected_drive',
+ 'undef'), prefix=r'`', suffix=r'\b'),
+ Comment.Preproc),
+
+ (words((
+ 'bits', 'bitstoreal', 'bitstoshortreal', 'countdrivers', 'display', 'fclose',
+ 'fdisplay', 'finish', 'floor', 'fmonitor', 'fopen', 'fstrobe', 'fwrite',
+ 'getpattern', 'history', 'incsave', 'input', 'itor', 'key', 'list', 'log',
+ 'monitor', 'monitoroff', 'monitoron', 'nokey', 'nolog', 'printtimescale',
+ 'random', 'readmemb', 'readmemh', 'realtime', 'realtobits', 'reset',
+ 'reset_count', 'reset_value', 'restart', 'rtoi', 'save', 'scale', 'scope',
+ 'shortrealtobits', 'showscopes', 'showvariables', 'showvars', 'sreadmemb',
+ 'sreadmemh', 'stime', 'stop', 'strobe', 'time', 'timeformat', 'write'),
+ prefix=r'\$', suffix=r'\b'),
+ Name.Builtin),
+
+ (words((
+ 'byte', 'shortint', 'int', 'longint', 'integer', 'time',
+ 'bit', 'logic', 'reg', 'supply0', 'supply1', 'tri', 'triand',
+ 'trior', 'tri0', 'tri1', 'trireg', 'uwire', 'wire', 'wand', 'wo'
+ 'shortreal', 'real', 'realtime'), suffix=r'\b'),
+ Keyword.Type),
('[a-zA-Z_]\w*:(?!:)', Name.Label),
('[a-zA-Z_]\w*', Name),
],
@@ -170,65 +182,73 @@ class SystemVerilogLexer(RegexLexer):
(r'[()\[\],.;\']', Punctuation),
(r'`[a-zA-Z_]\w*', Name.Constant),
- (r'(accept_on|alias|always|always_comb|always_ff|always_latch|'
- r'and|assert|assign|assume|automatic|before|begin|bind|bins|'
- r'binsof|bit|break|buf|bufif0|bufif1|byte|case|casex|casez|'
- r'cell|chandle|checker|class|clocking|cmos|config|const|constraint|'
- r'context|continue|cover|covergroup|coverpoint|cross|deassign|'
- r'default|defparam|design|disable|dist|do|edge|else|end|endcase|'
- r'endchecker|endclass|endclocking|endconfig|endfunction|endgenerate|'
- r'endgroup|endinterface|endmodule|endpackage|endprimitive|'
- r'endprogram|endproperty|endsequence|endspecify|endtable|'
- r'endtask|enum|event|eventually|expect|export|extends|extern|'
- r'final|first_match|for|force|foreach|forever|fork|forkjoin|'
- r'function|generate|genvar|global|highz0|highz1|if|iff|ifnone|'
- r'ignore_bins|illegal_bins|implies|import|incdir|include|'
- r'initial|inout|input|inside|instance|int|integer|interface|'
- r'intersect|join|join_any|join_none|large|let|liblist|library|'
- r'local|localparam|logic|longint|macromodule|matches|medium|'
- r'modport|module|nand|negedge|new|nexttime|nmos|nor|noshowcancelled|'
- r'not|notif0|notif1|null|or|output|package|packed|parameter|'
- r'pmos|posedge|primitive|priority|program|property|protected|'
- r'pull0|pull1|pulldown|pullup|pulsestyle_ondetect|pulsestyle_onevent|'
- r'pure|rand|randc|randcase|randsequence|rcmos|real|realtime|'
- r'ref|reg|reject_on|release|repeat|restrict|return|rnmos|'
- r'rpmos|rtran|rtranif0|rtranif1|s_always|s_eventually|s_nexttime|'
- r's_until|s_until_with|scalared|sequence|shortint|shortreal|'
- r'showcancelled|signed|small|solve|specify|specparam|static|'
- r'string|strong|strong0|strong1|struct|super|supply0|supply1|'
- r'sync_accept_on|sync_reject_on|table|tagged|task|this|throughout|'
- r'time|timeprecision|timeunit|tran|tranif0|tranif1|tri|tri0|'
- r'tri1|triand|trior|trireg|type|typedef|union|unique|unique0|'
- r'unsigned|until|until_with|untyped|use|uwire|var|vectored|'
- r'virtual|void|wait|wait_order|wand|weak|weak0|weak1|while|'
- r'wildcard|wire|with|within|wor|xnor|xor)\b', Keyword),
-
- (r'(`__FILE__|`__LINE__|`begin_keywords|`celldefine|`default_nettype|'
- r'`define|`else|`elsif|`end_keywords|`endcelldefine|`endif|'
- r'`ifdef|`ifndef|`include|`line|`nounconnected_drive|`pragma|'
- r'`resetall|`timescale|`unconnected_drive|`undef|`undefineall)\b',
+ (words((
+ 'accept_on', 'alias', 'always', 'always_comb', 'always_ff', 'always_latch',
+ 'and', 'assert', 'assign', 'assume', 'automatic', 'before', 'begin', 'bind', 'bins',
+ 'binsof', 'bit', 'break', 'buf', 'bufif0', 'bufif1', 'byte', 'case', 'casex', 'casez',
+ 'cell', 'chandle', 'checker', 'class', 'clocking', 'cmos', 'config', 'const', 'constraint',
+ 'context', 'continue', 'cover', 'covergroup', 'coverpoint', 'cross', 'deassign',
+ 'default', 'defparam', 'design', 'disable', 'dist', 'do', 'edge', 'else', 'end', 'endcase',
+ 'endchecker', 'endclass', 'endclocking', 'endconfig', 'endfunction', 'endgenerate',
+ 'endgroup', 'endinterface', 'endmodule', 'endpackage', 'endprimitive',
+ 'endprogram', 'endproperty', 'endsequence', 'endspecify', 'endtable',
+ 'endtask', 'enum', 'event', 'eventually', 'expect', 'export', 'extends', 'extern',
+ 'final', 'first_match', 'for', 'force', 'foreach', 'forever', 'fork', 'forkjoin',
+ 'function', 'generate', 'genvar', 'global', 'highz0', 'highz1', 'if', 'iff', 'ifnone',
+ 'ignore_bins', 'illegal_bins', 'implies', 'import', 'incdir', 'include',
+ 'initial', 'inout', 'input', 'inside', 'instance', 'int', 'integer', 'interface',
+ 'intersect', 'join', 'join_any', 'join_none', 'large', 'let', 'liblist', 'library',
+ 'local', 'localparam', 'logic', 'longint', 'macromodule', 'matches', 'medium',
+ 'modport', 'module', 'nand', 'negedge', 'new', 'nexttime', 'nmos', 'nor', 'noshowcancelled',
+ 'not', 'notif0', 'notif1', 'null', 'or', 'output', 'package', 'packed', 'parameter',
+ 'pmos', 'posedge', 'primitive', 'priority', 'program', 'property', 'protected',
+ 'pull0', 'pull1', 'pulldown', 'pullup', 'pulsestyle_ondetect', 'pulsestyle_onevent',
+ 'pure', 'rand', 'randc', 'randcase', 'randsequence', 'rcmos', 'real', 'realtime',
+ 'ref', 'reg', 'reject_on', 'release', 'repeat', 'restrict', 'return', 'rnmos',
+ 'rpmos', 'rtran', 'rtranif0', 'rtranif1', 's_always', 's_eventually', 's_nexttime',
+ 's_until', 's_until_with', 'scalared', 'sequence', 'shortint', 'shortreal',
+ 'showcancelled', 'signed', 'small', 'solve', 'specify', 'specparam', 'static',
+ 'string', 'strong', 'strong0', 'strong1', 'struct', 'super', 'supply0', 'supply1',
+ 'sync_accept_on', 'sync_reject_on', 'table', 'tagged', 'task', 'this', 'throughout',
+ 'time', 'timeprecision', 'timeunit', 'tran', 'tranif0', 'tranif1', 'tri', 'tri0',
+ 'tri1', 'triand', 'trior', 'trireg', 'type', 'typedef', 'union', 'unique', 'unique0',
+ 'unsigned', 'until', 'until_with', 'untyped', 'use', 'uwire', 'var', 'vectored',
+ 'virtual', 'void', 'wait', 'wait_order', 'wand', 'weak', 'weak0', 'weak1', 'while',
+ 'wildcard', 'wire', 'with', 'within', 'wor', 'xnor', 'xor'), suffix=r'\b'),
+ Keyword),
+
+ (words((
+ '`__FILE__', '`__LINE__', '`begin_keywords', '`celldefine', '`default_nettype',
+ '`define', '`else', '`elsif', '`end_keywords', '`endcelldefine', '`endif',
+ '`ifdef', '`ifndef', '`include', '`line', '`nounconnected_drive', '`pragma',
+ '`resetall', '`timescale', '`unconnected_drive', '`undef', '`undefineall'),
+ suffix=r'\b'),
Comment.Preproc),
- (r'(\$display|\$displayb|\$displayh|\$displayo|\$dumpall|\$dumpfile|'
- r'\$dumpflush|\$dumplimit|\$dumpoff|\$dumpon|\$dumpports|'
- r'\$dumpportsall|\$dumpportsflush|\$dumpportslimit|\$dumpportsoff|'
- r'\$dumpportson|\$dumpvars|\$fclose|\$fdisplay|\$fdisplayb|'
- r'\$fdisplayh|\$fdisplayo|\$feof|\$ferror|\$fflush|\$fgetc|'
- r'\$fgets|\$finish|\$fmonitor|\$fmonitorb|\$fmonitorh|\$fmonitoro|'
- r'\$fopen|\$fread|\$fscanf|\$fseek|\$fstrobe|\$fstrobeb|\$fstrobeh|'
- r'\$fstrobeo|\$ftell|\$fwrite|\$fwriteb|\$fwriteh|\$fwriteo|'
- r'\$monitor|\$monitorb|\$monitorh|\$monitoro|\$monitoroff|'
- r'\$monitoron|\$plusargs|\$random\|$readmemb|\$readmemh|\$rewind|'
- r'\$sformat|\$sformatf|\$sscanf|\$strobe|\$strobeb|\$strobeh|\$strobeo|'
- r'\$swrite|\$swriteb|\$swriteh|\$swriteo|\$test|\$ungetc|'
- r'\$value\$plusargs|\$write|\$writeb|\$writeh|\$writememb|'
- r'\$writememh|\$writeo)\b', Name.Builtin),
+ (words((
+ '$display', '$displayb', '$displayh', '$displayo', '$dumpall', '$dumpfile',
+ '$dumpflush', '$dumplimit', '$dumpoff', '$dumpon', '$dumpports',
+ '$dumpportsall', '$dumpportsflush', '$dumpportslimit', '$dumpportsoff',
+ '$dumpportson', '$dumpvars', '$fclose', '$fdisplay', '$fdisplayb',
+ '$fdisplayh', '$fdisplayo', '$feof', '$ferror', '$fflush', '$fgetc',
+ '$fgets', '$finish', '$fmonitor', '$fmonitorb', '$fmonitorh', '$fmonitoro',
+ '$fopen', '$fread', '$fscanf', '$fseek', '$fstrobe', '$fstrobeb', '$fstrobeh',
+ '$fstrobeo', '$ftell', '$fwrite', '$fwriteb', '$fwriteh', '$fwriteo',
+ '$monitor', '$monitorb', '$monitorh', '$monitoro', '$monitoroff',
+ '$monitoron', '$plusargs', '$random', '$readmemb', '$readmemh', '$rewind',
+ '$sformat', '$sformatf', '$sscanf', '$strobe', '$strobeb', '$strobeh', '$strobeo',
+ '$swrite', '$swriteb', '$swriteh', '$swriteo', '$test', '$ungetc',
+ '$value$plusargs', '$write', '$writeb', '$writeh', '$writememb',
+ '$writememh', '$writeo'), suffix=r'\b'),
+ Name.Builtin),
(r'(class)(\s+)', bygroups(Keyword, Text), 'classname'),
- (r'(byte|shortint|int|longint|integer|time|'
- r'bit|logic|reg|'
- r'supply0|supply1|tri|triand|trior|tri0|tri1|trireg|uwire|wire|wand|wor'
- r'shortreal|real|realtime)\b', Keyword.Type),
+ (words((
+ 'byte', 'shortint', 'int', 'longint', 'integer', 'time',
+ 'bit', 'logic', 'reg', 'supply0', 'supply1', 'tri', 'triand',
+ 'trior', 'tri0', 'tri1', 'trireg', 'uwire', 'wire', 'wand', 'wo'
+ 'shortreal', 'real', 'realtime'), suffix=r'\b'),
+ Keyword.Type),
('[a-zA-Z_]\w*:(?!:)', Name.Label),
('[a-zA-Z_]\w*', Name),
],
@@ -320,28 +340,32 @@ class VhdlLexer(RegexLexer):
(r';', Punctuation, '#pop'),
],
'types': [
- (r'(boolean|bit|character|severity_level|integer|time|delay_length|'
- r'natural|positive|string|bit_vector|file_open_kind|'
- r'file_open_status|std_ulogic|std_ulogic_vector|std_logic|'
- r'std_logic_vector)\b', Keyword.Type),
+ (words((
+ 'boolean', 'bit', 'character', 'severity_level', 'integer', 'time',
+ 'delay_length', 'natural', 'positive', 'string', 'bit_vector',
+ 'file_open_kind', 'file_open_status', 'std_ulogic', 'std_ulogic_vector',
+ 'std_logic', 'std_logic_vector'), suffix=r'\b'),
+ Keyword.Type),
],
'keywords': [
- (r'(abs|access|after|alias|all|and|'
- r'architecture|array|assert|attribute|begin|block|'
- r'body|buffer|bus|case|component|configuration|'
- r'constant|disconnect|downto|else|elsif|end|'
- r'entity|exit|file|for|function|generate|'
- r'generic|group|guarded|if|impure|in|'
- r'inertial|inout|is|label|library|linkage|'
- r'literal|loop|map|mod|nand|new|'
- r'next|nor|not|null|of|on|'
- r'open|or|others|out|package|port|'
- r'postponed|procedure|process|pure|range|record|'
- r'register|reject|return|rol|ror|select|'
- r'severity|signal|shared|sla|sli|sra|'
- r'srl|subtype|then|to|transport|type|'
- r'units|until|use|variable|wait|when|'
- r'while|with|xnor|xor)\b', Keyword),
+ (words((
+ 'abs', 'access', 'after', 'alias', 'all', 'and',
+ 'architecture', 'array', 'assert', 'attribute', 'begin', 'block',
+ 'body', 'buffer', 'bus', 'case', 'component', 'configuration',
+ 'constant', 'disconnect', 'downto', 'else', 'elsif', 'end',
+ 'entity', 'exit', 'file', 'for', 'function', 'generate',
+ 'generic', 'group', 'guarded', 'if', 'impure', 'in',
+ 'inertial', 'inout', 'is', 'label', 'library', 'linkage',
+ 'literal', 'loop', 'map', 'mod', 'nand', 'new',
+ 'next', 'nor', 'not', 'null', 'of', 'on',
+ 'open', 'or', 'others', 'out', 'package', 'port',
+ 'postponed', 'procedure', 'process', 'pure', 'range', 'record',
+ 'register', 'reject', 'return', 'rol', 'ror', 'select',
+ 'severity', 'signal', 'shared', 'sla', 'sli', 'sra',
+ 'srl', 'subtype', 'then', 'to', 'transport', 'type',
+ 'units', 'until', 'use', 'variable', 'wait', 'when',
+ 'while', 'with', 'xnor', 'xor'), suffix=r'\b'),
+ Keyword),
],
'numbers': [
(r'\d{1,2}#[0-9a-f_]+#?', Number.Integer),