summaryrefslogtreecommitdiff
path: root/chromium/media/audio
ModeNameSize
-rw-r--r--BUILD.gn10712logplain
-rw-r--r--OWNERS163logplain
-rw-r--r--PRESUBMIT.py1197logplain
-rw-r--r--agc_audio_stream.h8319logplain
d---------alsa476logplain
d---------android625logplain
-rw-r--r--audio_debug_file_writer.cc12044logplain
-rw-r--r--audio_debug_file_writer.h3222logplain
-rw-r--r--audio_debug_file_writer_unittest.cc12229logplain
-rw-r--r--audio_debug_recording_helper.cc3601logplain
-rw-r--r--audio_debug_recording_helper.h3463logplain
-rw-r--r--audio_debug_recording_helper_unittest.cc9595logplain
-rw-r--r--audio_debug_recording_manager.cc3902logplain
-rw-r--r--audio_debug_recording_manager.h4577logplain
-rw-r--r--audio_debug_recording_manager_unittest.cc8282logplain
-rw-r--r--audio_device_description.cc1915logplain
-rw-r--r--audio_device_description.h3221logplain
-rw-r--r--audio_device_info_accessor_for_tests.cc2396logplain
-rw-r--r--audio_device_info_accessor_for_tests.h1401logplain
-rw-r--r--audio_device_name.cc967logplain
-rw-r--r--audio_device_name.h941logplain
-rw-r--r--audio_device_thread.cc4262logplain
-rw-r--r--audio_device_thread.h3160logplain
-rw-r--r--audio_features.cc551logplain
-rw-r--r--audio_features.h545logplain
-rw-r--r--audio_input_controller.cc24879logplain
-rw-r--r--audio_input_controller.h16117logplain
-rw-r--r--audio_input_controller_unittest.cc11769logplain
-rw-r--r--audio_input_device.cc16150logplain
-rw-r--r--audio_input_device.h8354logplain
-rw-r--r--audio_input_device_unittest.cc5272logplain
-rw-r--r--audio_input_ipc.cc341logplain
-rw-r--r--audio_input_ipc.h3466logplain
-rw-r--r--audio_input_unittest.cc7484logplain
-rw-r--r--audio_io.h7535logplain
-rw-r--r--audio_logging.h3286logplain
-rw-r--r--audio_low_latency_input_output_unittest.cc14946logplain
-rw-r--r--audio_manager.cc11522logplain
-rw-r--r--audio_manager.h11766logplain
-rw-r--r--audio_manager_base.cc17917logplain
-rw-r--r--audio_manager_base.h7914logplain
-rw-r--r--audio_manager_unittest.cc29272logplain
-rw-r--r--audio_output_controller.cc16673logplain
-rw-r--r--audio_output_controller.h10845logplain
-rw-r--r--audio_output_controller_unittest.cc11491logplain
-rw-r--r--audio_output_delegate.cc431logplain
-rw-r--r--audio_output_delegate.h1420logplain
-rw-r--r--audio_output_device.cc17622logplain
-rw-r--r--audio_output_device.h8996logplain
-rw-r--r--audio_output_device_unittest.cc12891logplain
-rw-r--r--audio_output_dispatcher.cc931logplain
-rw-r--r--audio_output_dispatcher.h3231logplain
-rw-r--r--audio_output_dispatcher_impl.cc5858logplain
-rw-r--r--audio_output_dispatcher_impl.h3249logplain
-rw-r--r--audio_output_ipc.cc346logplain
-rw-r--r--audio_output_ipc.h4919logplain
-rw-r--r--audio_output_proxy.cc2799logplain
-rw-r--r--audio_output_proxy.h1957logplain
-rw-r--r--audio_output_proxy_unittest.cc28684logplain
-rw-r--r--audio_output_resampler.cc18276logplain
-rw-r--r--audio_output_resampler.h4362logplain
-rw-r--r--audio_output_stream_sink.cc4487logplain
-rw-r--r--audio_output_stream_sink.h3061logplain
-rw-r--r--audio_power_monitor.cc2978logplain
-rw-r--r--audio_power_monitor.h3175logplain
-rw-r--r--audio_power_monitor_unittest.cc9789logplain
-rw-r--r--audio_source_diverter.h2117logplain
-rw-r--r--audio_system.cc1113logplain
-rw-r--r--audio_system.h3968logplain
-rw-r--r--audio_system_impl.cc8799logplain
-rw-r--r--audio_system_impl.h2297logplain
-rw-r--r--audio_system_impl_unittest.cc14181logplain
-rw-r--r--audio_thread.h1221logplain
-rw-r--r--audio_thread_impl.cc1369logplain
-rw-r--r--audio_thread_impl.h1000logplain
-rw-r--r--audio_unittest_util.cc925logplain
-rw-r--r--audio_unittest_util.h1628logplain
-rw-r--r--clockless_audio_sink.cc4314logplain
-rw-r--r--clockless_audio_sink.h1959logplain
d---------cras365logplain
-rw-r--r--fake_audio_input_stream.cc4920logplain
-rw-r--r--fake_audio_input_stream.h2980logplain
-rw-r--r--fake_audio_log_factory.cc1255logplain
-rw-r--r--fake_audio_log_factory.h856logplain
-rw-r--r--fake_audio_manager.cc2935logplain
-rw-r--r--fake_audio_manager.h1929logplain
-rw-r--r--fake_audio_output_stream.cc2145logplain
-rw-r--r--fake_audio_output_stream.h1695logplain
-rw-r--r--fake_audio_worker.cc4820logplain
-rw-r--r--fake_audio_worker.h1922logplain
-rw-r--r--fake_audio_worker_unittest.cc5293logplain
d---------fuchsia103logplain
d---------linux50logplain
d---------mac785logplain
-rw-r--r--mock_audio_manager.cc4753logplain
-rw-r--r--mock_audio_manager.h4046logplain
-rw-r--r--mock_audio_source_callback.cc370logplain
-rw-r--r--mock_audio_source_callback.h874logplain
-rw-r--r--null_audio_sink.cc3012logplain
-rw-r--r--null_audio_sink.h2116logplain
d---------pulse440logplain
-rw-r--r--sample_rates.cc1162logplain
-rw-r--r--sample_rates.h1038logplain
-rw-r--r--scoped_task_runner_observer.cc1507logplain
-rw-r--r--scoped_task_runner_observer.h1842logplain
-rw-r--r--simple_sources.cc10479logplain
-rw-r--r--simple_sources.h3888logplain
-rw-r--r--simple_sources_unittest.cc9205logplain
d---------sounds535logplain
-rw-r--r--test_audio_input_controller_factory.cc2630logplain
-rw-r--r--test_audio_input_controller_factory.h4995logplain
-rw-r--r--test_audio_thread.cc1205logplain
-rw-r--r--test_audio_thread.h1022logplain
-rw-r--r--virtual_audio_input_stream.cc4637logplain
-rw-r--r--virtual_audio_input_stream.h4076logplain
-rw-r--r--virtual_audio_input_stream_unittest.cc10746logplain
-rw-r--r--virtual_audio_output_stream.cc2866logplain
-rw-r--r--virtual_audio_output_stream.h2412logplain
-rw-r--r--virtual_audio_output_stream_unittest.cc4341logplain
-rw-r--r--virtual_audio_sink.cc2484logplain
-rw-r--r--virtual_audio_sink.h1988logplain
d---------win1147logplain