summaryrefslogtreecommitdiff
path: root/arch/sandbox
Commit message (Expand)AuthorAgeFilesLines
* test: spi: Add sandbox_spi_get_{speed, mode} interfaceOvidiu Panait2020-12-221-0/+16
* sandbox: test: Add a second SPI slave on sandbox_spi busOvidiu Panait2020-12-221-1/+9
* sandbox: spi: Drop unused sandbox_spi_parse_spec functionOvidiu Panait2020-12-221-10/+0
* sandbox: implement invalidate_icache_all()Heinrich Schuchardt2020-12-222-1/+24
* sandbox: implement runtime system resetHeinrich Schuchardt2020-12-221-0/+10
* dm: test: Add a test for DM_UC_FLAG_NO_AUTO_SEQSimon Glass2020-12-181-0/+13
* dm: Switch over to use new sequence number for dev_seq()Simon Glass2020-12-181-1/+1
* sandbox: add handler for exceptionsHeinrich Schuchardt2020-12-134-0/+88
* Merge tag 'dm-pull5nov20' of git://git.denx.de/u-boot-dmWIP/06Nov2020Tom Rini2020-11-065-5/+56
|\
| * sandbox: implement resetHeinrich Schuchardt2020-11-054-0/+43
| * sandbox: use O_CLOEXEC in os_open()Heinrich Schuchardt2020-11-051-0/+5
| * sandbox: eth-raw: do not close the console inputHeinrich Schuchardt2020-11-052-5/+8
* | board: Rename uclass to sysinfoSimon Glass2020-11-061-4/+4
|/
* dm: Add a test for of-platdata parent informationSimon Glass2020-10-292-0/+2
* dm: test: Add a test for of-platdata phandlesSimon Glass2020-10-291-0/+26
* dm: test: Drop of-platdata pytestSimon Glass2020-10-293-22/+0
* sandbox: Allow selection of SPL unit testsSimon Glass2020-10-293-1/+11
* dm: test: Add a way to run SPL testsSimon Glass2020-10-293-0/+18
* sandbox: make SDL window resizableHeinrich Schuchardt2020-10-291-1/+2
* test: Add tests for the multiplexer frameworkJean-Jacques Hiblot2020-10-281-0/+33
* test: sharpen button label unit testHeinrich Schuchardt2020-10-142-8/+8
* test: dm: Test for default led namingSean Anderson2020-10-081-1/+1
* test: pinmux: Add test for pin muxingSean Anderson2020-10-081-7/+38
* sandbox: avoid duplicate backslash inputHeinrich Schuchardt2020-10-061-5/+15
* sandbox: add missing SDL key scan codesHeinrich Schuchardt2020-10-061-67/+89
* test: dm: add test for phandle access functionsPatrick Delaunay2020-10-061-0/+1
* firmware: smci: sandbox test for SCMI reset controllersWIP/30Sep2020-nextEtienne Carriere2020-09-302-0/+23
* firmware: scmi: sandbox test for SCMI clocksEtienne Carriere2020-09-302-0/+54
* firmware: add SCMI agent uclassEtienne Carriere2020-09-302-0/+59
* test: dm: Add tests for regmap managed API and regmap fieldsJean-Jacques Hiblot2020-09-301-0/+13
* test: gpio: Add tests for the managed APIJean-Jacques Hiblot2020-09-301-0/+10
* test: reset: Add tests for the managed APIJean-Jacques Hiblot2020-09-301-0/+3
* timer: Add a test for timer_timebase_fallbackSean Anderson2020-09-302-1/+19
* pci: pci-uclass: Add multi entry support for memory regionsSuneel Garapati2020-08-251-2/+3
* sandbox: u-boot.lds: Remove bogus __bss_start symbolOvidiu Panait2020-08-222-4/+0
* sandbox: dts: Add compatible string for bind-test nodePatrice Chotard2020-08-221-0/+1
* i2c: eeprom: Use reg property instead of offset and sizeMichal Simek2020-08-201-0/+13
* test/py: add tests for the button commandsPhilippe Reynes2020-07-281-0/+14
* sandbox: dtsi: add buttonsPhilippe Reynes2020-07-281-0/+14
* sandbox, test: change hog gpioPhilippe Reynes2020-07-281-4/+4
* test: Add tests for SOC uclassDave Gerlach2020-07-251-0/+4
* Revert "Merge tag 'dm-pull-20jul20' of git://git.denx.de/u-boot-dm"Tom Rini2020-07-241-4/+0
* test: Add tests for SOC uclassDave Gerlach2020-07-201-0/+4
* dm: acpi: Enhance acpi_get_name()Simon Glass2020-07-171-0/+1
* acpi: Add support for DSDT generationSimon Glass2020-07-171-0/+2
* acpi: Support ordering SSDT data by deviceSimon Glass2020-07-171-2/+3
* acpi: Add support for SSDT generationSimon Glass2020-07-171-0/+2
* acpi: Support copying properties from device tree to ACPISimon Glass2020-07-171-0/+1
* acpi: Add a function to get a device path and scopeSimon Glass2020-07-171-0/+3
* sandbox: Move section u_boot_list to make it RWWalter Lozano2020-07-091-1/+1