summaryrefslogtreecommitdiff
BranchCommit messageAuthorAge
OCL20OCL20: add device enqueue test case.Yang Rong8 years
Release_v0.9.xutests: fix bugs in builtin_tgamma().Rebecca Palmer10 years
Release_v1.0build: use EXECUTE_PROCESS to replace the deprecated EXEC_PROGRAM.Zhigang Gong9 years
Release_v1.1Bump version to 1.1.1Yang Rong8 years
Release_v1.2Bump version to 1.2.1.Yang Rong8 years
Release_v1.3Bump version to 1.3.2.Yang Rong7 years
masterAllow creating out-of-order queues with clCreateCommandQueueRebecca N. Palmer6 years
newRTImplement printf for new runtime.Junyan He7 years
runtime_devREADME: remove "legacy Illegal pointer issue" section.Yang Rong8 years
stableGenerate NAN for UNDEF value in printf parser.Junyan He9 years
[...]
 
TagDownloadAuthorAge
Release_v1.3.2beignet-Release_v1.3.2.tar.gz  Yang Rong7 years
Release_v1.3.1beignet-Release_v1.3.1.tar.gz  Yang Rong7 years
Release_v1.3.0beignet-Release_v1.3.0.tar.gz  Yang Rong7 years
Release_v1.2.1beignet-Release_v1.2.1.tar.gz  Yang Rong8 years
Release_v1.2.0beignet-Release_v1.2.0.tar.gz  Yang Rong8 years
Release_v1.1.2beignet-Release_v1.1.2.tar.gz  Yang Rong8 years
Release_v1.1.1beignet-Release_v1.1.1.tar.gz  Yang Rong9 years
Release_v1.1.0beignet-Release_v1.1.0.tar.gz  Yang Rong9 years
Release_v1.0.3beignet-Release_v1.0.3.tar.gz  Zhigang Gong9 years
Release_v1.0.2beignet-Release_v1.0.2.tar.gz  Zhigang Gong9 years
[...]
 
AgeCommit messageAuthorFilesLines
2014-06-12relax the build dependency on Gen GPUopencl-1.1Guo Yejun2-8/+5
2014-06-12Fix the same kernel name issue of OCL_OUTPUT_KERNEL_PERFYongjia Zhang3-40/+92
2014-06-12utest: reduce group size to fit into baytrail platform.Zhigang Gong1-1/+1
2014-06-12HSW: Remove the jmpi distance limit of HSW.Yang Rong4-17/+49
2014-06-12GBE: fix some bugs in 64bit bitcast.Ruiling Song1-3/+7
2014-06-12HSW: Fix potential issue of GT3 when calc stack address.Yang Rong3-2/+12
2014-06-12Handle the difference timestamp count, got from drm_intel_reg_read.Yang Rong1-18/+44
2014-06-12remove RTLD_DEEPBIND to avoid stdc++ issuesGuo Yejun8-171/+206
2014-06-11fix utest simd_any for simd width 8 and 16Guo Yejun1-1/+1
2014-06-11GBE: ignoring some debug related intrinsics.Zhigang Gong1-0/+8
[...]
 
Clone
git://git.baserock.org/delta/beignet.git
http://git.baserock.org/git/delta/beignet.git
https://git.baserock.org/git/delta/beignet.git