summaryrefslogtreecommitdiff
path: root/gdb/microblaze-tdep.c
diff options
context:
space:
mode:
authoreager <eager>2009-10-16 23:21:23 +0000
committereager <eager>2009-10-16 23:21:23 +0000
commitd63f60a3a2bb003983002aa197f96447e32f24cc (patch)
tree6877ffff57b81afba39fb44e0622b8fd56b2b7ba /gdb/microblaze-tdep.c
parent3add401544221e217f73ebacdb63dd00392dfc64 (diff)
downloadgdb-d63f60a3a2bb003983002aa197f96447e32f24cc.tar.gz
Fix build failure for microblaze-xilinx-linux.
* microblaze-linux-tdep.c: microblaze_linux_memory_remove_breakpoint(): Add gdbarch to param, replace frame_pc_unwind with get_frame_address_in_block. * microblaze-tdep.c: Remove MICROBLAZE_REGISTER_SIZE. * microblaze-tdep.h: Add MICROBLAZE_REGISTER_SIZE.
Diffstat (limited to 'gdb/microblaze-tdep.c')
-rw-r--r--gdb/microblaze-tdep.c3
1 files changed, 0 insertions, 3 deletions
diff --git a/gdb/microblaze-tdep.c b/gdb/microblaze-tdep.c
index b008448a4a4..6f623579e23 100644
--- a/gdb/microblaze-tdep.c
+++ b/gdb/microblaze-tdep.c
@@ -63,9 +63,6 @@
#define IS_SAVE_HIDDEN_PTR(op, rd, ra, rb) \
((op == add || op == addik) && ra == MICROBLAZE_FIRST_ARGREG && rb == 0)
-/* All registers are 32 bits. */
-#define MICROBLAZE_REGISTER_SIZE 4
-
/* The registers of the Xilinx microblaze processor. */
static const char *microblaze_register_names[] =