summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--ChangeLog22
-rwxr-xr-xMODULES.html.sh2
-rw-r--r--NEWS2
-rw-r--r--doc/posix-headers/signal.texi2
-rw-r--r--modules/pthread_sigmask2
-rw-r--r--modules/raise2
-rw-r--r--modules/sigaction2
-rw-r--r--modules/signal49
-rw-r--r--modules/signal-c++-tests20
-rw-r--r--modules/signal-h62
-rw-r--r--modules/signal-h-c++-tests20
-rw-r--r--modules/signal-h-tests11
-rw-r--r--modules/signal-tests11
-rw-r--r--modules/sigpipe2
-rw-r--r--modules/sigprocmask2
-rw-r--r--modules/sys_select2
-rw-r--r--tests/test-signal-h-c++.cc (renamed from tests/test-signal-c++.cc)0
-rw-r--r--tests/test-signal-h-c++2.cc (renamed from tests/test-signal-c++2.cc)0
-rw-r--r--tests/test-signal-h.c (renamed from tests/test-signal.c)0
19 files changed, 128 insertions, 85 deletions
diff --git a/ChangeLog b/ChangeLog
index 32b8c040ec..ef8dc92941 100644
--- a/ChangeLog
+++ b/ChangeLog
@@ -1,5 +1,27 @@
2011-09-23 Bruno Haible <bruno@clisp.org>
+ signal-h: Rename from signal.
+ * modules/signal-h: Renamed from modules/signal.
+ * modules/pthread_sigmask (Depends-on): Update.
+ * modules/raise (Depends-on): Likewise.
+ * modules/sigaction (Depends-on): Likewise.
+ * modules/sigpipe (Depends-on): Likewise.
+ * modules/sigprocmask (Depends-on): Likewise.
+ * modules/sys_select (Depends-on): Likewise.
+ * modules/signal-h-tests: Renamed from modules/signal-tests.
+ (Files, Depends-on, Makefile.am): Update.
+ * tests/test-signal-h.c: Renamed from tests/test-signal.c.
+ * modules/signal-h-c++-tests: Renamed from modules/signal-c++-tests.
+ (Files, Makefile.am): Update.
+ * tests/test-signal-h-c++.cc: Renamed from tests/test-signal-c++.cc.
+ * tests/test-signal-h-c++2.cc: Renamed from tests/test-signal-c++2.cc.
+ * modules/signal: New placeholder file.
+ * MODULES.html.sh (Support for systems lacking POSIX:2008): Update.
+ * doc/posix-headers/signal.texi: Update.
+ * NEWS: Mention the change.
+
+2011-09-23 Bruno Haible <bruno@clisp.org>
+
sigprocmask: Avoid crashes through signal() on MSVC 9.
* lib/sigprocmask.c: Include msvc-inval.h.
(signal_nothrow): New function.
diff --git a/MODULES.html.sh b/MODULES.html.sh
index 8273faa56a..99031508eb 100755
--- a/MODULES.html.sh
+++ b/MODULES.html.sh
@@ -2451,7 +2451,7 @@ func_all_modules ()
func_module servent
func_module setsockopt
func_module shutdown
- func_module signal
+ func_module signal-h
func_module sleep
func_module snprintf-posix
func_module socket
diff --git a/NEWS b/NEWS
index d7d9acd9ce..21f4708215 100644
--- a/NEWS
+++ b/NEWS
@@ -12,6 +12,8 @@ User visible incompatible changes
Date Modules Changes
+2011-09-23 signal This module is renamed to 'signal-h'.
+
2011-09-22 select The link requirements of this module are changed
from $(LIBSOCKET) to $(LIB_SELECT).
diff --git a/doc/posix-headers/signal.texi b/doc/posix-headers/signal.texi
index 18bd30987f..99ad79e766 100644
--- a/doc/posix-headers/signal.texi
+++ b/doc/posix-headers/signal.texi
@@ -3,7 +3,7 @@
POSIX specification:@* @url{http://www.opengroup.org/onlinepubs/9699919799/basedefs/signal.h.html}
-Gnulib module: signal
+Gnulib module: signal-h
Portability problems fixed by Gnulib:
@itemize
diff --git a/modules/pthread_sigmask b/modules/pthread_sigmask
index b9a8f96660..f451259567 100644
--- a/modules/pthread_sigmask
+++ b/modules/pthread_sigmask
@@ -6,7 +6,7 @@ lib/pthread_sigmask.c
m4/pthread_sigmask.m4
Depends-on:
-signal
+signal-h
threadlib
sigprocmask [test $HAVE_PTHREAD_SIGMASK = 0 || test $REPLACE_PTHREAD_SIGMASK = 1]
diff --git a/modules/raise b/modules/raise
index 11f9e0e8a7..734e03a93d 100644
--- a/modules/raise
+++ b/modules/raise
@@ -6,7 +6,7 @@ lib/raise.c
m4/raise.m4
Depends-on:
-signal
+signal-h
msvc-inval [test $HAVE_RAISE = 0 || test $REPLACE_RAISE = 1]
configure.ac:
diff --git a/modules/sigaction b/modules/sigaction
index 9fde5f69e3..2180766807 100644
--- a/modules/sigaction
+++ b/modules/sigaction
@@ -7,7 +7,7 @@ lib/sig-handler.h
m4/sigaction.m4
Depends-on:
-signal
+signal-h
sigprocmask [test $HAVE_SIGACTION = 0]
configure.ac:
diff --git a/modules/signal b/modules/signal
index fe9af81cf8..5bf24bcbb1 100644
--- a/modules/signal
+++ b/modules/signal
@@ -1,62 +1,19 @@
Description:
-A GNU-like <signal.h>.
+Placeholder for eventual signal() replacement.
Files:
-lib/signal.in.h
-m4/signal_h.m4
Depends-on:
-include_next
-snippet/arg-nonnull
-snippet/c++defs
-snippet/warn-on-use
-extensions
+signal-h
configure.ac:
-gl_SIGNAL_H
Makefile.am:
-BUILT_SOURCES += signal.h
-
-# We need the following in order to create <signal.h> when the system
-# doesn't have a complete one.
-signal.h: signal.in.h $(top_builddir)/config.status $(CXXDEFS_H) $(ARG_NONNULL_H) $(WARN_ON_USE_H)
- $(AM_V_GEN)rm -f $@-t $@ && \
- { echo '/* DO NOT EDIT! GENERATED AUTOMATICALLY! */' && \
- sed -e 's|@''GUARD_PREFIX''@|${gl_include_guard_prefix}|g' \
- -e 's|@''INCLUDE_NEXT''@|$(INCLUDE_NEXT)|g' \
- -e 's|@''PRAGMA_SYSTEM_HEADER''@|@PRAGMA_SYSTEM_HEADER@|g' \
- -e 's|@''PRAGMA_COLUMNS''@|@PRAGMA_COLUMNS@|g' \
- -e 's|@''NEXT_SIGNAL_H''@|$(NEXT_SIGNAL_H)|g' \
- -e 's|@''GNULIB_PTHREAD_SIGMASK''@|$(GNULIB_PTHREAD_SIGMASK)|g' \
- -e 's|@''GNULIB_RAISE''@|$(GNULIB_RAISE)|g' \
- -e 's/@''GNULIB_SIGNAL_H_SIGPIPE''@/$(GNULIB_SIGNAL_H_SIGPIPE)/g' \
- -e 's/@''GNULIB_SIGPROCMASK''@/$(GNULIB_SIGPROCMASK)/g' \
- -e 's/@''GNULIB_SIGACTION''@/$(GNULIB_SIGACTION)/g' \
- -e 's|@''HAVE_POSIX_SIGNALBLOCKING''@|$(HAVE_POSIX_SIGNALBLOCKING)|g' \
- -e 's|@''HAVE_PTHREAD_SIGMASK''@|$(HAVE_PTHREAD_SIGMASK)|g' \
- -e 's|@''HAVE_RAISE''@|$(HAVE_RAISE)|g' \
- -e 's|@''HAVE_SIGSET_T''@|$(HAVE_SIGSET_T)|g' \
- -e 's|@''HAVE_SIGINFO_T''@|$(HAVE_SIGINFO_T)|g' \
- -e 's|@''HAVE_SIGACTION''@|$(HAVE_SIGACTION)|g' \
- -e 's|@''HAVE_STRUCT_SIGACTION_SA_SIGACTION''@|$(HAVE_STRUCT_SIGACTION_SA_SIGACTION)|g' \
- -e 's|@''HAVE_TYPE_VOLATILE_SIG_ATOMIC_T''@|$(HAVE_TYPE_VOLATILE_SIG_ATOMIC_T)|g' \
- -e 's|@''HAVE_SIGHANDLER_T''@|$(HAVE_SIGHANDLER_T)|g' \
- -e 's|@''REPLACE_PTHREAD_SIGMASK''@|$(REPLACE_PTHREAD_SIGMASK)|g' \
- -e 's|@''REPLACE_RAISE''@|$(REPLACE_RAISE)|g' \
- -e '/definitions of _GL_FUNCDECL_RPL/r $(CXXDEFS_H)' \
- -e '/definition of _GL_ARG_NONNULL/r $(ARG_NONNULL_H)' \
- -e '/definition of _GL_WARN_ON_USE/r $(WARN_ON_USE_H)' \
- < $(srcdir)/signal.in.h; \
- } > $@-t && \
- mv $@-t $@
-MOSTLYCLEANFILES += signal.h signal.h-t
Include:
-<signal.h>
License:
LGPLv2+
Maintainer:
-all
+Bruno Haible
diff --git a/modules/signal-c++-tests b/modules/signal-c++-tests
deleted file mode 100644
index 02a92fb91d..0000000000
--- a/modules/signal-c++-tests
+++ /dev/null
@@ -1,20 +0,0 @@
-Files:
-tests/test-signal-c++.cc
-tests/test-signal-c++2.cc
-tests/signature.h
-
-Status:
-c++-test
-
-Depends-on:
-ansi-c++-opt
-
-configure.ac:
-
-Makefile.am:
-if ANSICXX
-TESTS += test-signal-c++
-check_PROGRAMS += test-signal-c++
-test_signal_c___SOURCES = test-signal-c++.cc test-signal-c++2.cc
-test_signal_c___LDADD = $(LDADD) $(LIBINTL) $(LIB_NANOSLEEP) $(LIB_PTHREAD_SIGMASK)
-endif
diff --git a/modules/signal-h b/modules/signal-h
new file mode 100644
index 0000000000..fe9af81cf8
--- /dev/null
+++ b/modules/signal-h
@@ -0,0 +1,62 @@
+Description:
+A GNU-like <signal.h>.
+
+Files:
+lib/signal.in.h
+m4/signal_h.m4
+
+Depends-on:
+include_next
+snippet/arg-nonnull
+snippet/c++defs
+snippet/warn-on-use
+extensions
+
+configure.ac:
+gl_SIGNAL_H
+
+Makefile.am:
+BUILT_SOURCES += signal.h
+
+# We need the following in order to create <signal.h> when the system
+# doesn't have a complete one.
+signal.h: signal.in.h $(top_builddir)/config.status $(CXXDEFS_H) $(ARG_NONNULL_H) $(WARN_ON_USE_H)
+ $(AM_V_GEN)rm -f $@-t $@ && \
+ { echo '/* DO NOT EDIT! GENERATED AUTOMATICALLY! */' && \
+ sed -e 's|@''GUARD_PREFIX''@|${gl_include_guard_prefix}|g' \
+ -e 's|@''INCLUDE_NEXT''@|$(INCLUDE_NEXT)|g' \
+ -e 's|@''PRAGMA_SYSTEM_HEADER''@|@PRAGMA_SYSTEM_HEADER@|g' \
+ -e 's|@''PRAGMA_COLUMNS''@|@PRAGMA_COLUMNS@|g' \
+ -e 's|@''NEXT_SIGNAL_H''@|$(NEXT_SIGNAL_H)|g' \
+ -e 's|@''GNULIB_PTHREAD_SIGMASK''@|$(GNULIB_PTHREAD_SIGMASK)|g' \
+ -e 's|@''GNULIB_RAISE''@|$(GNULIB_RAISE)|g' \
+ -e 's/@''GNULIB_SIGNAL_H_SIGPIPE''@/$(GNULIB_SIGNAL_H_SIGPIPE)/g' \
+ -e 's/@''GNULIB_SIGPROCMASK''@/$(GNULIB_SIGPROCMASK)/g' \
+ -e 's/@''GNULIB_SIGACTION''@/$(GNULIB_SIGACTION)/g' \
+ -e 's|@''HAVE_POSIX_SIGNALBLOCKING''@|$(HAVE_POSIX_SIGNALBLOCKING)|g' \
+ -e 's|@''HAVE_PTHREAD_SIGMASK''@|$(HAVE_PTHREAD_SIGMASK)|g' \
+ -e 's|@''HAVE_RAISE''@|$(HAVE_RAISE)|g' \
+ -e 's|@''HAVE_SIGSET_T''@|$(HAVE_SIGSET_T)|g' \
+ -e 's|@''HAVE_SIGINFO_T''@|$(HAVE_SIGINFO_T)|g' \
+ -e 's|@''HAVE_SIGACTION''@|$(HAVE_SIGACTION)|g' \
+ -e 's|@''HAVE_STRUCT_SIGACTION_SA_SIGACTION''@|$(HAVE_STRUCT_SIGACTION_SA_SIGACTION)|g' \
+ -e 's|@''HAVE_TYPE_VOLATILE_SIG_ATOMIC_T''@|$(HAVE_TYPE_VOLATILE_SIG_ATOMIC_T)|g' \
+ -e 's|@''HAVE_SIGHANDLER_T''@|$(HAVE_SIGHANDLER_T)|g' \
+ -e 's|@''REPLACE_PTHREAD_SIGMASK''@|$(REPLACE_PTHREAD_SIGMASK)|g' \
+ -e 's|@''REPLACE_RAISE''@|$(REPLACE_RAISE)|g' \
+ -e '/definitions of _GL_FUNCDECL_RPL/r $(CXXDEFS_H)' \
+ -e '/definition of _GL_ARG_NONNULL/r $(ARG_NONNULL_H)' \
+ -e '/definition of _GL_WARN_ON_USE/r $(WARN_ON_USE_H)' \
+ < $(srcdir)/signal.in.h; \
+ } > $@-t && \
+ mv $@-t $@
+MOSTLYCLEANFILES += signal.h signal.h-t
+
+Include:
+<signal.h>
+
+License:
+LGPLv2+
+
+Maintainer:
+all
diff --git a/modules/signal-h-c++-tests b/modules/signal-h-c++-tests
new file mode 100644
index 0000000000..c7b23713c6
--- /dev/null
+++ b/modules/signal-h-c++-tests
@@ -0,0 +1,20 @@
+Files:
+tests/test-signal-h-c++.cc
+tests/test-signal-h-c++2.cc
+tests/signature.h
+
+Status:
+c++-test
+
+Depends-on:
+ansi-c++-opt
+
+configure.ac:
+
+Makefile.am:
+if ANSICXX
+TESTS += test-signal-h-c++
+check_PROGRAMS += test-signal-h-c++
+test_signal_h_c___SOURCES = test-signal-h-c++.cc test-signal-h-c++2.cc
+test_signal_h_c___LDADD = $(LDADD) $(LIBINTL) $(LIB_NANOSLEEP) $(LIB_PTHREAD_SIGMASK)
+endif
diff --git a/modules/signal-h-tests b/modules/signal-h-tests
new file mode 100644
index 0000000000..dab83e9edf
--- /dev/null
+++ b/modules/signal-h-tests
@@ -0,0 +1,11 @@
+Files:
+tests/test-signal-h.c
+
+Depends-on:
+signal-h-c++-tests
+
+configure.ac:
+
+Makefile.am:
+TESTS += test-signal-h
+check_PROGRAMS += test-signal-h
diff --git a/modules/signal-tests b/modules/signal-tests
deleted file mode 100644
index 9b882a5349..0000000000
--- a/modules/signal-tests
+++ /dev/null
@@ -1,11 +0,0 @@
-Files:
-tests/test-signal.c
-
-Depends-on:
-signal-c++-tests
-
-configure.ac:
-
-Makefile.am:
-TESTS += test-signal
-check_PROGRAMS += test-signal
diff --git a/modules/sigpipe b/modules/sigpipe
index d28a562afe..8bb74427e3 100644
--- a/modules/sigpipe
+++ b/modules/sigpipe
@@ -14,7 +14,7 @@ m4/asm-underscore.m4
Depends-on:
msvc-nothrow
raise
-signal
+signal-h
sigprocmask
stdio
unistd
diff --git a/modules/sigprocmask b/modules/sigprocmask
index cdcd17c6c3..644654c0bc 100644
--- a/modules/sigprocmask
+++ b/modules/sigprocmask
@@ -6,7 +6,7 @@ lib/sigprocmask.c
m4/signalblocking.m4
Depends-on:
-signal
+signal-h
stdint [test $HAVE_POSIX_SIGNALBLOCKING = 0]
raise [test $HAVE_POSIX_SIGNALBLOCKING = 0]
msvc-inval [test $HAVE_POSIX_SIGNALBLOCKING = 0]
diff --git a/modules/sys_select b/modules/sys_select
index 49a03606ad..97262bb088 100644
--- a/modules/sys_select
+++ b/modules/sys_select
@@ -8,7 +8,7 @@ m4/sys_socket_h.m4
Depends-on:
include_next
-signal
+signal-h
snippet/c++defs
snippet/warn-on-use
sys_time
diff --git a/tests/test-signal-c++.cc b/tests/test-signal-h-c++.cc
index 11b70c8d4d..11b70c8d4d 100644
--- a/tests/test-signal-c++.cc
+++ b/tests/test-signal-h-c++.cc
diff --git a/tests/test-signal-c++2.cc b/tests/test-signal-h-c++2.cc
index 744e9a4c92..744e9a4c92 100644
--- a/tests/test-signal-c++2.cc
+++ b/tests/test-signal-h-c++2.cc
diff --git a/tests/test-signal.c b/tests/test-signal-h.c
index 7103e1ff19..7103e1ff19 100644
--- a/tests/test-signal.c
+++ b/tests/test-signal-h.c