summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--WHENCE12
-rw-r--r--rtl_nic/rtl8107e-1.fwbin0 -> 2496 bytes
-rw-r--r--rtl_nic/rtl8107e-2.fwbin0 -> 2464 bytes
-rw-r--r--rtl_nic/rtl8168h-1.fwbin0 -> 2496 bytes
-rw-r--r--rtl_nic/rtl8168h-2.fwbin0 -> 2464 bytes
5 files changed, 12 insertions, 0 deletions
diff --git a/WHENCE b/WHENCE
index 5424b0f..3b5f078 100644
--- a/WHENCE
+++ b/WHENCE
@@ -2151,6 +2151,18 @@ Version: 0.0.1
File: rtl_nic/rtl8168g-3.fw
Version: 0.0.1
+File: rtl_nic/rtl8168h-1.fw
+Version: 0.0.1
+
+File: rtl_nic/rtl8168h-2.fw
+Version: 0.0.1
+
+File: rtl_nic/rtl8107e-1.fw
+Version: 0.0.1
+
+File: rtl_nic/rtl8107e-2.fw
+Version: 0.0.1
+
Licence:
* Copyright © 2011-2013, Realtek Semiconductor Corporation
*
diff --git a/rtl_nic/rtl8107e-1.fw b/rtl_nic/rtl8107e-1.fw
new file mode 100644
index 0000000..5ac3d62
--- /dev/null
+++ b/rtl_nic/rtl8107e-1.fw
Binary files differ
diff --git a/rtl_nic/rtl8107e-2.fw b/rtl_nic/rtl8107e-2.fw
new file mode 100644
index 0000000..949ca67
--- /dev/null
+++ b/rtl_nic/rtl8107e-2.fw
Binary files differ
diff --git a/rtl_nic/rtl8168h-1.fw b/rtl_nic/rtl8168h-1.fw
new file mode 100644
index 0000000..4bdd382
--- /dev/null
+++ b/rtl_nic/rtl8168h-1.fw
Binary files differ
diff --git a/rtl_nic/rtl8168h-2.fw b/rtl_nic/rtl8168h-2.fw
new file mode 100644
index 0000000..949bacc
--- /dev/null
+++ b/rtl_nic/rtl8168h-2.fw
Binary files differ