summaryrefslogtreecommitdiff
path: root/scheme/experimental/registry.sls
blob: c8f06c1dbb574d41ae1005e529cb4a83a75044bf (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
#!r6rs
(library (experimental registry)
(export registry register)
(import (rnrs) (aps compat))

(define _registry '())

(define (registry)
  _registry)

(define (register id)
  (printf "registering ~a\n" id)
  (set! _registry (append _registry (list id)))
  _registry)
)