summaryrefslogtreecommitdiff
path: root/deps/v8/tools/testrunner/testproc/stack_utils/testdata/custom_analyzer/data_race_8.expected
diff options
context:
space:
mode:
Diffstat (limited to 'deps/v8/tools/testrunner/testproc/stack_utils/testdata/custom_analyzer/data_race_8.expected')
-rw-r--r--deps/v8/tools/testrunner/testproc/stack_utils/testdata/custom_analyzer/data_race_8.expected3
1 files changed, 3 insertions, 0 deletions
diff --git a/deps/v8/tools/testrunner/testproc/stack_utils/testdata/custom_analyzer/data_race_8.expected b/deps/v8/tools/testrunner/testproc/stack_utils/testdata/custom_analyzer/data_race_8.expected
new file mode 100644
index 0000000000..57bad319c7
--- /dev/null
+++ b/deps/v8/tools/testrunner/testproc/stack_utils/testdata/custom_analyzer/data_race_8.expected
@@ -0,0 +1,3 @@
+pthread_mutex_lock
+LockNativeHandle
+v8::base::Mutex::Lock() \ No newline at end of file