summaryrefslogtreecommitdiff
path: root/stdlib/random.mli
diff options
context:
space:
mode:
authorMaxence Guesdon <maxence.guesdon@inria.fr>2001-12-03 22:16:03 +0000
committerMaxence Guesdon <maxence.guesdon@inria.fr>2001-12-03 22:16:03 +0000
commitef3d334d4a207ad31d9c7f74f4cc3d5259047e49 (patch)
tree45ac1116188ad95167b4c2d621fac2241d2ce9a1 /stdlib/random.mli
parent966c128bc96118fb12c753c01bf5f134c888e61a (diff)
downloadocaml-ef3d334d4a207ad31d9c7f74f4cc3d5259047e49.tar.gz
commentaires après
git-svn-id: http://caml.inria.fr/svn/ocaml/trunk@4083 f963ae5c-01c2-4b8c-9fe0-0dff7051ff02
Diffstat (limited to 'stdlib/random.mli')
-rw-r--r--stdlib/random.mli18
1 files changed, 9 insertions, 9 deletions
diff --git a/stdlib/random.mli b/stdlib/random.mli
index 9dce85f570..a0e0ca1033 100644
--- a/stdlib/random.mli
+++ b/stdlib/random.mli
@@ -14,40 +14,40 @@
(** Pseudo-random number generator (PRNG). *)
+val init : int -> unit
(** Initialize the generator, using the argument as a seed.
The same seed will always yield the same sequence of numbers. *)
-val init : int -> unit
-(** Same as {!Random.init} but takes more data as seed. *)
val full_init : int array -> unit
+(** Same as {!Random.init} but takes more data as seed. *)
+val self_init : unit -> unit
(** Initialize the generator with a more-or-less random seed chosen
in a system-dependent way. *)
-val self_init : unit -> unit
-(** Return 30 random bits in a nonnegative integer. *)
val bits : unit -> int
+(** Return 30 random bits in a nonnegative integer. *)
+val int : int -> int
(** [Random.int bound] returns a random integer between 0 (inclusive)
and [bound] (exclusive). [bound] must be more than 0 and less
than 2{^30}. *)
-val int : int -> int
+val float : float -> float
(** [Random.float bound] returns a random floating-point number
between 0 (inclusive) and [bound] (exclusive). If [bound] is
negative, the result is negative. If [bound] is 0, the result
is 0. *)
-val float : float -> float
+type state
(** Values of this type are used to store the current state of the
generator. *)
-type state;;
+val get_state : unit -> state
(** Returns the current state of the generator. This is useful for
checkpointing computations that use the PRNG. *)
-val get_state : unit -> state;;
+val set_state : state -> unit
(** Resets the state of the generator to some previous state returned by
{!Random.get_state}. *)
-val set_state : state -> unit;;