summaryrefslogtreecommitdiff
path: root/testsuite/tests/misc/fib.ml
blob: c7e75058501e83b6ac7494dd2b9939250a55cceb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
(***********************************************************************)
(*                                                                     *)
(*                           Objective Caml                            *)
(*                                                                     *)
(*            Xavier Leroy, projet Cristal, INRIA Rocquencourt         *)
(*                                                                     *)
(*  Copyright 1996 Institut National de Recherche en Informatique et   *)
(*  en Automatique.  All rights reserved.  This file is distributed    *)
(*  under the terms of the Q Public License version 1.0.               *)
(*                                                                     *)
(***********************************************************************)

(* $Id: fib.ml 7017 2005-08-12 09:22:04Z xleroy $ *)

let rec fib n =
  if n < 2 then 1 else fib(n-1) + fib(n-2)

let _ =
  let n =
    if Array.length Sys.argv >= 2 
    then int_of_string Sys.argv.(1)
    else 40 in
  print_int(fib n); print_newline(); exit 0