summaryrefslogtreecommitdiff
path: root/tests/mime-detection/test.v
blob: a0e06899408e437d6517208dc5aff1f23088da05 (plain)
1
2
3
4
5
6
7
8
9
10
module main;
  initial 
    begin
      $display("Hello world!");
      $finish;
    end
endmodule

// from http://en.wikipedia.org/wiki/Verilog#Example
// Text is available under the Creative Commons Attribution-ShareAlike License