summaryrefslogtreecommitdiff
path: root/subversion/bindings/swig/perl/native/svn_diff.c
diff options
context:
space:
mode:
Diffstat (limited to 'subversion/bindings/swig/perl/native/svn_diff.c')
-rw-r--r--subversion/bindings/swig/perl/native/svn_diff.c284
1 files changed, 161 insertions, 123 deletions
diff --git a/subversion/bindings/swig/perl/native/svn_diff.c b/subversion/bindings/swig/perl/native/svn_diff.c
index 4f5a6a6..0e75a59 100644
--- a/subversion/bindings/swig/perl/native/svn_diff.c
+++ b/subversion/bindings/swig/perl/native/svn_diff.c
@@ -1,6 +1,6 @@
/* ----------------------------------------------------------------------------
* This file was automatically generated by SWIG (http://www.swig.org).
- * Version 2.0.4
+ * Version 2.0.9
*
* This file is not intended to be easily readable and contains a number of
* coding conventions designed to improve portability and efficiency. Do not make
@@ -830,50 +830,35 @@ extern "C" {
SWIGINTERN const char*
SWIG_Perl_ErrorType(int code) {
- const char* type = 0;
switch(code) {
case SWIG_MemoryError:
- type = "MemoryError";
- break;
+ return "MemoryError";
case SWIG_IOError:
- type = "IOError";
- break;
+ return "IOError";
case SWIG_RuntimeError:
- type = "RuntimeError";
- break;
+ return "RuntimeError";
case SWIG_IndexError:
- type = "IndexError";
- break;
+ return "IndexError";
case SWIG_TypeError:
- type = "TypeError";
- break;
+ return "TypeError";
case SWIG_DivisionByZero:
- type = "ZeroDivisionError";
- break;
+ return "ZeroDivisionError";
case SWIG_OverflowError:
- type = "OverflowError";
- break;
+ return "OverflowError";
case SWIG_SyntaxError:
- type = "SyntaxError";
- break;
+ return "SyntaxError";
case SWIG_ValueError:
- type = "ValueError";
- break;
+ return "ValueError";
case SWIG_SystemError:
- type = "SystemError";
- break;
+ return "SystemError";
case SWIG_AttributeError:
- type = "AttributeError";
- break;
+ return "AttributeError";
default:
- type = "RuntimeError";
+ return "RuntimeError";
}
- return type;
}
-
-
/* -----------------------------------------------------------------------------
* perlrun.swg
*
@@ -916,14 +901,14 @@ SWIG_Perl_ErrorType(int code) {
/* Runtime API */
-#define SWIG_GetModule(clientdata) SWIG_Perl_GetModule()
+#define SWIG_GetModule(clientdata) SWIG_Perl_GetModule(clientdata)
#define SWIG_SetModule(clientdata, pointer) SWIG_Perl_SetModule(pointer)
/* Error manipulation */
#define SWIG_ErrorType(code) SWIG_Perl_ErrorType(code)
-#define SWIG_Error(code, msg) sv_setpvf(GvSV(PL_errgv),"%s %s\n", SWIG_ErrorType(code), msg)
+#define SWIG_Error(code, msg) sv_setpvf(get_sv("@", GV_ADD), "%s %s", SWIG_ErrorType(code), msg)
#define SWIG_fail goto fail
/* Perl-specific SWIG API */
@@ -1017,8 +1002,6 @@ typedef int (*SwigMagicFunc)(struct interpreter *, SV *, MAGIC *);
#endif /* MULTIPLICITY */
#endif /* PERL_OBJECT */
-/* Workaround for bug in perl 5.6.x croak and earlier */
-#if (PERL_VERSION < 8)
# ifdef PERL_OBJECT
# define SWIG_croak_null() SWIG_Perl_croak_null(pPerl)
static void SWIG_Perl_croak_null(CPerlObj *pPerl)
@@ -1026,17 +1009,16 @@ static void SWIG_Perl_croak_null(CPerlObj *pPerl)
static void SWIG_croak_null()
# endif
{
- SV *err=ERRSV;
+ SV *err = get_sv("@", GV_ADD);
# if (PERL_VERSION < 6)
croak("%_", err);
# else
- if (SvOK(err) && !SvROK(err)) croak("%_", err);
- croak(Nullch);
+ if (sv_isobject(err))
+ croak(0);
+ else
+ croak("%s", SvPV_nolen(err));
# endif
}
-#else
-# define SWIG_croak_null() croak(Nullch)
-#endif
/*
@@ -1088,8 +1070,7 @@ SWIG_TypeProxyCheck(const char *c, swig_type_info *ty) {
if (ty) {
swig_cast_info *iter = ty->cast;
while (iter) {
- if ( (!iter->type->clientdata && (strcmp(iter->type->name, c) == 0)) ||
- (iter->type->clientdata && (strcmp((char*)iter->type->clientdata, c) == 0)) ) {
+ if (strcmp(SWIG_Perl_TypeProxyName(iter->type), c) == 0) {
if (iter == ty->cast)
return iter;
/* Move iter to the top of the linked list */
@@ -1220,7 +1201,7 @@ SWIG_Perl_MakePtr(SWIG_MAYBE_PERL_OBJECT SV *sv, void *ptr, swig_type_info *t, i
SV *obj=newSV(0);
HV *hash=newHV();
HV *stash;
- sv_setref_pv(obj, (char *) SWIG_Perl_TypeProxyName(t), ptr);
+ sv_setref_pv(obj, SWIG_Perl_TypeProxyName(t), ptr);
stash=SvSTASH(SvRV(obj));
if (flags & SWIG_POINTER_OWN) {
HV *hv;
@@ -1238,7 +1219,7 @@ SWIG_Perl_MakePtr(SWIG_MAYBE_PERL_OBJECT SV *sv, void *ptr, swig_type_info *t, i
sv_bless(sv, stash);
}
else {
- sv_setref_pv(sv, (char *) SWIG_Perl_TypeProxyName(t), ptr);
+ sv_setref_pv(sv, SWIG_Perl_TypeProxyName(t), ptr);
}
}
@@ -1329,19 +1310,23 @@ typedef struct {
/* Magic variable code */
#ifndef PERL_OBJECT
-#define swig_create_magic(s,a,b,c) _swig_create_magic(s,a,b,c)
- #ifndef MULTIPLICITY
- SWIGRUNTIME void _swig_create_magic(SV *sv, char *name, int (*set)(SV *, MAGIC *), int (*get)(SV *,MAGIC *))
- #else
- SWIGRUNTIME void _swig_create_magic(SV *sv, char *name, int (*set)(struct interpreter*, SV *, MAGIC *), int (*get)(struct interpreter*, SV *,MAGIC *))
- #endif
+# ifdef __cplusplus
+# define swig_create_magic(s,a,b,c) _swig_create_magic(s,const_cast<char*>(a),b,c)
+# else
+# define swig_create_magic(s,a,b,c) _swig_create_magic(s,(char*)(a),b,c)
+# endif
+# ifndef MULTIPLICITY
+SWIGRUNTIME void _swig_create_magic(SV *sv, char *name, int (*set)(SV *, MAGIC *), int (*get)(SV *,MAGIC *))
+# else
+SWIGRUNTIME void _swig_create_magic(SV *sv, char *name, int (*set)(struct interpreter*, SV *, MAGIC *), int (*get)(struct interpreter*, SV *,MAGIC *))
+# endif
#else
# define swig_create_magic(s,a,b,c) _swig_create_magic(pPerl,s,a,b,c)
SWIGRUNTIME void _swig_create_magic(CPerlObj *pPerl, SV *sv, const char *name, int (CPerlObj::*set)(SV *, MAGIC *), int (CPerlObj::*get)(SV *, MAGIC *))
#endif
{
MAGIC *mg;
- sv_magic(sv,sv,'U',(char *) name,strlen(name));
+ sv_magic(sv,sv,'U',name,strlen(name));
mg = mg_find(sv,'U');
mg->mg_virtual = (MGVTBL *) malloc(sizeof(MGVTBL));
mg->mg_virtual->svt_get = (SwigMagicFunc) get;
@@ -1353,7 +1338,7 @@ SWIGRUNTIME void _swig_create_magic(CPerlObj *pPerl, SV *sv, const char *name, i
SWIGRUNTIME swig_module_info *
-SWIG_Perl_GetModule(void) {
+SWIG_Perl_GetModule(void *SWIGUNUSEDPARM(clientdata)) {
static void *type_pointer = (void *)0;
SV *pointer;
@@ -1447,9 +1432,6 @@ SWIG_Perl_SetModule(swig_module_info *module) {
#ifdef eof
#undef eof
#endif
-#ifdef bool
- #undef bool
-#endif
#ifdef close
#undef close
#endif
@@ -1490,6 +1472,14 @@ SWIG_Perl_SetModule(swig_module_info *module) {
#undef stat
#endif
+#ifdef bool
+ /* Leave if macro is from C99 stdbool.h */
+ #ifndef __bool_true_false_are_defined
+ #undef bool
+ #endif
+#endif
+
+
#define SWIG_exception_fail(code, msg) do { SWIG_Error(code, msg); SWIG_fail; } while(0)
@@ -1503,68 +1493,77 @@ SWIG_Perl_SetModule(swig_module_info *module) {
/* -------- TYPES TABLE (BEGIN) -------- */
-#define SWIGTYPE_p_apr_int32_t swig_types[0]
-#define SWIGTYPE_p_apr_int64_t swig_types[1]
-#define SWIGTYPE_p_char swig_types[2]
-#define SWIGTYPE_p_f_p_apr_getopt_t_p_void_p_apr_pool_t__p_svn_error_t swig_types[3]
-#define SWIGTYPE_p_int swig_types[4]
-#define SWIGTYPE_p_long swig_types[5]
-#define SWIGTYPE_p_svn_auth_baton_t swig_types[6]
-#define SWIGTYPE_p_svn_auth_cred_simple_t swig_types[7]
-#define SWIGTYPE_p_svn_auth_cred_ssl_client_cert_pw_t swig_types[8]
-#define SWIGTYPE_p_svn_auth_cred_ssl_client_cert_t swig_types[9]
-#define SWIGTYPE_p_svn_auth_cred_ssl_server_trust_t swig_types[10]
-#define SWIGTYPE_p_svn_auth_cred_username_t swig_types[11]
-#define SWIGTYPE_p_svn_auth_iterstate_t swig_types[12]
-#define SWIGTYPE_p_svn_auth_provider_object_t swig_types[13]
-#define SWIGTYPE_p_svn_auth_provider_t swig_types[14]
-#define SWIGTYPE_p_svn_auth_ssl_server_cert_info_t swig_types[15]
-#define SWIGTYPE_p_svn_commit_info_t swig_types[16]
-#define SWIGTYPE_p_svn_config_t swig_types[17]
-#define SWIGTYPE_p_svn_depth_t swig_types[18]
-#define SWIGTYPE_p_svn_diff_conflict_display_style_t swig_types[19]
-#define SWIGTYPE_p_svn_diff_datasource_e swig_types[20]
-#define SWIGTYPE_p_svn_diff_file_ignore_space_t swig_types[21]
-#define SWIGTYPE_p_svn_diff_file_options_t swig_types[22]
-#define SWIGTYPE_p_svn_diff_fns2_t swig_types[23]
-#define SWIGTYPE_p_svn_diff_fns_t swig_types[24]
-#define SWIGTYPE_p_svn_diff_hunk_t swig_types[25]
-#define SWIGTYPE_p_svn_diff_operation_kind_e swig_types[26]
-#define SWIGTYPE_p_svn_diff_output_fns_t swig_types[27]
-#define SWIGTYPE_p_svn_diff_t swig_types[28]
-#define SWIGTYPE_p_svn_dirent_t swig_types[29]
-#define SWIGTYPE_p_svn_errno_t swig_types[30]
-#define SWIGTYPE_p_svn_error_t swig_types[31]
-#define SWIGTYPE_p_svn_io_dirent2_t swig_types[32]
-#define SWIGTYPE_p_svn_io_dirent_t swig_types[33]
-#define SWIGTYPE_p_svn_io_file_del_t swig_types[34]
-#define SWIGTYPE_p_svn_location_segment_t swig_types[35]
-#define SWIGTYPE_p_svn_lock_t swig_types[36]
-#define SWIGTYPE_p_svn_log_changed_path2_t swig_types[37]
-#define SWIGTYPE_p_svn_log_changed_path_t swig_types[38]
-#define SWIGTYPE_p_svn_log_entry_t swig_types[39]
-#define SWIGTYPE_p_svn_merge_range_t swig_types[40]
-#define SWIGTYPE_p_svn_mergeinfo_inheritance_t swig_types[41]
-#define SWIGTYPE_p_svn_node_kind_t swig_types[42]
-#define SWIGTYPE_p_svn_opt_revision_range_t swig_types[43]
-#define SWIGTYPE_p_svn_opt_revision_t swig_types[44]
-#define SWIGTYPE_p_svn_opt_revision_value_t swig_types[45]
-#define SWIGTYPE_p_svn_opt_subcommand_desc2_t swig_types[46]
-#define SWIGTYPE_p_svn_opt_subcommand_desc_t swig_types[47]
-#define SWIGTYPE_p_svn_patch_file_t swig_types[48]
-#define SWIGTYPE_p_svn_patch_t swig_types[49]
-#define SWIGTYPE_p_svn_prop_kind swig_types[50]
-#define SWIGTYPE_p_svn_prop_patch_t swig_types[51]
-#define SWIGTYPE_p_svn_stream_mark_t swig_types[52]
-#define SWIGTYPE_p_svn_stream_t swig_types[53]
-#define SWIGTYPE_p_svn_string_t swig_types[54]
-#define SWIGTYPE_p_svn_stringbuf_t swig_types[55]
-#define SWIGTYPE_p_svn_tristate_t swig_types[56]
-#define SWIGTYPE_p_svn_version_checklist_t swig_types[57]
-#define SWIGTYPE_p_svn_version_t swig_types[58]
-#define SWIGTYPE_p_unsigned_long swig_types[59]
-static swig_type_info *swig_types[61];
-static swig_module_info swig_module = {swig_types, 60, 0, 0, 0, 0};
+#define SWIGTYPE_p_apr_array_header_t swig_types[0]
+#define SWIGTYPE_p_apr_int32_t swig_types[1]
+#define SWIGTYPE_p_apr_int64_t swig_types[2]
+#define SWIGTYPE_p_char swig_types[3]
+#define SWIGTYPE_p_f_p_apr_getopt_t_p_void_p_apr_pool_t__p_svn_error_t swig_types[4]
+#define SWIGTYPE_p_int swig_types[5]
+#define SWIGTYPE_p_long swig_types[6]
+#define SWIGTYPE_p_svn_auth_baton_t swig_types[7]
+#define SWIGTYPE_p_svn_auth_cred_simple_t swig_types[8]
+#define SWIGTYPE_p_svn_auth_cred_ssl_client_cert_pw_t swig_types[9]
+#define SWIGTYPE_p_svn_auth_cred_ssl_client_cert_t swig_types[10]
+#define SWIGTYPE_p_svn_auth_cred_ssl_server_trust_t swig_types[11]
+#define SWIGTYPE_p_svn_auth_cred_username_t swig_types[12]
+#define SWIGTYPE_p_svn_auth_iterstate_t swig_types[13]
+#define SWIGTYPE_p_svn_auth_provider_object_t swig_types[14]
+#define SWIGTYPE_p_svn_auth_provider_t swig_types[15]
+#define SWIGTYPE_p_svn_auth_ssl_server_cert_info_t swig_types[16]
+#define SWIGTYPE_p_svn_checksum_ctx_t swig_types[17]
+#define SWIGTYPE_p_svn_checksum_kind_t swig_types[18]
+#define SWIGTYPE_p_svn_checksum_t swig_types[19]
+#define SWIGTYPE_p_svn_commit_info_t swig_types[20]
+#define SWIGTYPE_p_svn_config_t swig_types[21]
+#define SWIGTYPE_p_svn_depth_t swig_types[22]
+#define SWIGTYPE_p_svn_diff_conflict_display_style_t swig_types[23]
+#define SWIGTYPE_p_svn_diff_datasource_e swig_types[24]
+#define SWIGTYPE_p_svn_diff_file_ignore_space_t swig_types[25]
+#define SWIGTYPE_p_svn_diff_file_options_t swig_types[26]
+#define SWIGTYPE_p_svn_diff_fns2_t swig_types[27]
+#define SWIGTYPE_p_svn_diff_fns_t swig_types[28]
+#define SWIGTYPE_p_svn_diff_hunk_t swig_types[29]
+#define SWIGTYPE_p_svn_diff_operation_kind_e swig_types[30]
+#define SWIGTYPE_p_svn_diff_output_fns_t swig_types[31]
+#define SWIGTYPE_p_svn_diff_t swig_types[32]
+#define SWIGTYPE_p_svn_dirent_t swig_types[33]
+#define SWIGTYPE_p_svn_errno_t swig_types[34]
+#define SWIGTYPE_p_svn_error_t swig_types[35]
+#define SWIGTYPE_p_svn_io_dirent2_t swig_types[36]
+#define SWIGTYPE_p_svn_io_dirent_t swig_types[37]
+#define SWIGTYPE_p_svn_io_file_del_t swig_types[38]
+#define SWIGTYPE_p_svn_location_segment_t swig_types[39]
+#define SWIGTYPE_p_svn_lock_t swig_types[40]
+#define SWIGTYPE_p_svn_log_changed_path2_t swig_types[41]
+#define SWIGTYPE_p_svn_log_changed_path_t swig_types[42]
+#define SWIGTYPE_p_svn_log_entry_t swig_types[43]
+#define SWIGTYPE_p_svn_merge_range_t swig_types[44]
+#define SWIGTYPE_p_svn_mergeinfo_inheritance_t swig_types[45]
+#define SWIGTYPE_p_svn_node_kind_t swig_types[46]
+#define SWIGTYPE_p_svn_opt_revision_range_t swig_types[47]
+#define SWIGTYPE_p_svn_opt_revision_t swig_types[48]
+#define SWIGTYPE_p_svn_opt_revision_value_t swig_types[49]
+#define SWIGTYPE_p_svn_opt_subcommand_desc2_t swig_types[50]
+#define SWIGTYPE_p_svn_opt_subcommand_desc_t swig_types[51]
+#define SWIGTYPE_p_svn_patch_file_t swig_types[52]
+#define SWIGTYPE_p_svn_patch_t swig_types[53]
+#define SWIGTYPE_p_svn_prop_inherited_item_t swig_types[54]
+#define SWIGTYPE_p_svn_prop_kind swig_types[55]
+#define SWIGTYPE_p_svn_prop_patch_t swig_types[56]
+#define SWIGTYPE_p_svn_stream_mark_t swig_types[57]
+#define SWIGTYPE_p_svn_stream_t swig_types[58]
+#define SWIGTYPE_p_svn_string_t swig_types[59]
+#define SWIGTYPE_p_svn_stringbuf_t swig_types[60]
+#define SWIGTYPE_p_svn_tristate_t swig_types[61]
+#define SWIGTYPE_p_svn_version_checklist_t swig_types[62]
+#define SWIGTYPE_p_svn_version_ext_linked_lib_t swig_types[63]
+#define SWIGTYPE_p_svn_version_ext_loaded_lib_t swig_types[64]
+#define SWIGTYPE_p_svn_version_extended_t swig_types[65]
+#define SWIGTYPE_p_svn_version_t swig_types[66]
+#define SWIGTYPE_p_svn_wc_external_item2_t swig_types[67]
+#define SWIGTYPE_p_unsigned_long swig_types[68]
+static swig_type_info *swig_types[70];
+static swig_module_info swig_module = {swig_types, 69, 0, 0, 0, 0};
#define SWIG_TypeQuery(name) SWIG_TypeQueryModule(&swig_module, &swig_module, name)
#define SWIG_MangledTypeQuery(name) SWIG_MangledTypeQueryModule(&swig_module, &swig_module, name)
@@ -1575,7 +1574,7 @@ static swig_module_info swig_module = {swig_types, 60, 0, 0, 0, 0};
#define SWIG_name "SVN::_Diff::boot_SVN___Diff"
#define SWIG_prefix "SVN::_Diff::"
-#define SWIGVERSION 0x020004
+#define SWIGVERSION 0x020009
#define SWIG_VERSION SWIGVERSION
@@ -1635,6 +1634,7 @@ extern "C" {
/* -------- TYPE CONVERSION AND EQUIVALENCE RULES (BEGIN) -------- */
+static swig_type_info _swigt__p_apr_array_header_t = {"_p_apr_array_header_t", "apr_array_header_t *|svn_rangelist_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_apr_int32_t = {"_p_apr_int32_t", "apr_int32_t *|time_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_apr_int64_t = {"_p_apr_int64_t", "apr_int64_t *|svn_filesize_t *|apr_time_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_char = {"_p_char", "char *", 0, 0, (void*)0, 0};
@@ -1651,6 +1651,9 @@ static swig_type_info _swigt__p_svn_auth_iterstate_t = {"_p_svn_auth_iterstate_t
static swig_type_info _swigt__p_svn_auth_provider_object_t = {"_p_svn_auth_provider_object_t", "struct svn_auth_provider_object_t *|svn_auth_provider_object_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_auth_provider_t = {"_p_svn_auth_provider_t", "struct svn_auth_provider_t *|svn_auth_provider_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_auth_ssl_server_cert_info_t = {"_p_svn_auth_ssl_server_cert_info_t", "struct svn_auth_ssl_server_cert_info_t *|svn_auth_ssl_server_cert_info_t *", 0, 0, (void*)0, 0};
+static swig_type_info _swigt__p_svn_checksum_ctx_t = {"_p_svn_checksum_ctx_t", "struct svn_checksum_ctx_t *|svn_checksum_ctx_t *", 0, 0, (void*)0, 0};
+static swig_type_info _swigt__p_svn_checksum_kind_t = {"_p_svn_checksum_kind_t", "enum svn_checksum_kind_t *|svn_checksum_kind_t *", 0, 0, (void*)0, 0};
+static swig_type_info _swigt__p_svn_checksum_t = {"_p_svn_checksum_t", "struct svn_checksum_t *|svn_checksum_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_commit_info_t = {"_p_svn_commit_info_t", "struct svn_commit_info_t *|svn_commit_info_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_config_t = {"_p_svn_config_t", "struct svn_config_t *|svn_config_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_depth_t = {"_p_svn_depth_t", "enum svn_depth_t *|svn_depth_t *", 0, 0, (void*)0, 0};
@@ -1685,6 +1688,7 @@ static swig_type_info _swigt__p_svn_opt_subcommand_desc2_t = {"_p_svn_opt_subcom
static swig_type_info _swigt__p_svn_opt_subcommand_desc_t = {"_p_svn_opt_subcommand_desc_t", "struct svn_opt_subcommand_desc_t *|svn_opt_subcommand_desc_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_patch_file_t = {"_p_svn_patch_file_t", "struct svn_patch_file_t *|svn_patch_file_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_patch_t = {"_p_svn_patch_t", "struct svn_patch_t *|svn_patch_t *", 0, 0, (void*)0, 0};
+static swig_type_info _swigt__p_svn_prop_inherited_item_t = {"_p_svn_prop_inherited_item_t", "struct svn_prop_inherited_item_t *|svn_prop_inherited_item_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_prop_kind = {"_p_svn_prop_kind", "svn_prop_kind_t *|enum svn_prop_kind *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_prop_patch_t = {"_p_svn_prop_patch_t", "struct svn_prop_patch_t *|svn_prop_patch_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_stream_mark_t = {"_p_svn_stream_mark_t", "struct svn_stream_mark_t *|svn_stream_mark_t *", 0, 0, (void*)0, 0};
@@ -1693,10 +1697,15 @@ static swig_type_info _swigt__p_svn_string_t = {"_p_svn_string_t", "struct svn_s
static swig_type_info _swigt__p_svn_stringbuf_t = {"_p_svn_stringbuf_t", "struct svn_stringbuf_t *|svn_stringbuf_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_tristate_t = {"_p_svn_tristate_t", "enum svn_tristate_t *|svn_tristate_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_version_checklist_t = {"_p_svn_version_checklist_t", "struct svn_version_checklist_t *|svn_version_checklist_t *", 0, 0, (void*)0, 0};
+static swig_type_info _swigt__p_svn_version_ext_linked_lib_t = {"_p_svn_version_ext_linked_lib_t", "struct svn_version_ext_linked_lib_t *|svn_version_ext_linked_lib_t *", 0, 0, (void*)0, 0};
+static swig_type_info _swigt__p_svn_version_ext_loaded_lib_t = {"_p_svn_version_ext_loaded_lib_t", "struct svn_version_ext_loaded_lib_t *|svn_version_ext_loaded_lib_t *", 0, 0, (void*)0, 0};
+static swig_type_info _swigt__p_svn_version_extended_t = {"_p_svn_version_extended_t", "struct svn_version_extended_t *|svn_version_extended_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_svn_version_t = {"_p_svn_version_t", "struct svn_version_t *|svn_version_t *", 0, 0, (void*)0, 0};
+static swig_type_info _swigt__p_svn_wc_external_item2_t = {"_p_svn_wc_external_item2_t", "svn_wc_external_item2_t *", 0, 0, (void*)0, 0};
static swig_type_info _swigt__p_unsigned_long = {"_p_unsigned_long", "unsigned long *|svn_linenum_t *", 0, 0, (void*)0, 0};
static swig_type_info *swig_type_initial[] = {
+ &_swigt__p_apr_array_header_t,
&_swigt__p_apr_int32_t,
&_swigt__p_apr_int64_t,
&_swigt__p_char,
@@ -1713,6 +1722,9 @@ static swig_type_info *swig_type_initial[] = {
&_swigt__p_svn_auth_provider_object_t,
&_swigt__p_svn_auth_provider_t,
&_swigt__p_svn_auth_ssl_server_cert_info_t,
+ &_swigt__p_svn_checksum_ctx_t,
+ &_swigt__p_svn_checksum_kind_t,
+ &_swigt__p_svn_checksum_t,
&_swigt__p_svn_commit_info_t,
&_swigt__p_svn_config_t,
&_swigt__p_svn_depth_t,
@@ -1747,6 +1759,7 @@ static swig_type_info *swig_type_initial[] = {
&_swigt__p_svn_opt_subcommand_desc_t,
&_swigt__p_svn_patch_file_t,
&_swigt__p_svn_patch_t,
+ &_swigt__p_svn_prop_inherited_item_t,
&_swigt__p_svn_prop_kind,
&_swigt__p_svn_prop_patch_t,
&_swigt__p_svn_stream_mark_t,
@@ -1755,10 +1768,15 @@ static swig_type_info *swig_type_initial[] = {
&_swigt__p_svn_stringbuf_t,
&_swigt__p_svn_tristate_t,
&_swigt__p_svn_version_checklist_t,
+ &_swigt__p_svn_version_ext_linked_lib_t,
+ &_swigt__p_svn_version_ext_loaded_lib_t,
+ &_swigt__p_svn_version_extended_t,
&_swigt__p_svn_version_t,
+ &_swigt__p_svn_wc_external_item2_t,
&_swigt__p_unsigned_long,
};
+static swig_cast_info _swigc__p_apr_array_header_t[] = { {&_swigt__p_apr_array_header_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_apr_int32_t[] = { {&_swigt__p_apr_int32_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_apr_int64_t[] = { {&_swigt__p_apr_int64_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_char[] = { {&_swigt__p_char, 0, 0, 0},{0, 0, 0, 0}};
@@ -1775,6 +1793,9 @@ static swig_cast_info _swigc__p_svn_auth_iterstate_t[] = { {&_swigt__p_svn_auth
static swig_cast_info _swigc__p_svn_auth_provider_object_t[] = { {&_swigt__p_svn_auth_provider_object_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_auth_provider_t[] = { {&_swigt__p_svn_auth_provider_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_auth_ssl_server_cert_info_t[] = { {&_swigt__p_svn_auth_ssl_server_cert_info_t, 0, 0, 0},{0, 0, 0, 0}};
+static swig_cast_info _swigc__p_svn_checksum_ctx_t[] = { {&_swigt__p_svn_checksum_ctx_t, 0, 0, 0},{0, 0, 0, 0}};
+static swig_cast_info _swigc__p_svn_checksum_kind_t[] = { {&_swigt__p_svn_checksum_kind_t, 0, 0, 0},{0, 0, 0, 0}};
+static swig_cast_info _swigc__p_svn_checksum_t[] = { {&_swigt__p_svn_checksum_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_commit_info_t[] = { {&_swigt__p_svn_commit_info_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_config_t[] = { {&_swigt__p_svn_config_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_depth_t[] = { {&_swigt__p_svn_depth_t, 0, 0, 0},{0, 0, 0, 0}};
@@ -1809,6 +1830,7 @@ static swig_cast_info _swigc__p_svn_opt_subcommand_desc2_t[] = { {&_swigt__p_sv
static swig_cast_info _swigc__p_svn_opt_subcommand_desc_t[] = { {&_swigt__p_svn_opt_subcommand_desc_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_patch_file_t[] = { {&_swigt__p_svn_patch_file_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_patch_t[] = { {&_swigt__p_svn_patch_t, 0, 0, 0},{0, 0, 0, 0}};
+static swig_cast_info _swigc__p_svn_prop_inherited_item_t[] = { {&_swigt__p_svn_prop_inherited_item_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_prop_kind[] = { {&_swigt__p_svn_prop_kind, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_prop_patch_t[] = { {&_swigt__p_svn_prop_patch_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_stream_mark_t[] = { {&_swigt__p_svn_stream_mark_t, 0, 0, 0},{0, 0, 0, 0}};
@@ -1817,10 +1839,15 @@ static swig_cast_info _swigc__p_svn_string_t[] = { {&_swigt__p_svn_string_t, 0,
static swig_cast_info _swigc__p_svn_stringbuf_t[] = { {&_swigt__p_svn_stringbuf_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_tristate_t[] = { {&_swigt__p_svn_tristate_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_version_checklist_t[] = { {&_swigt__p_svn_version_checklist_t, 0, 0, 0},{0, 0, 0, 0}};
+static swig_cast_info _swigc__p_svn_version_ext_linked_lib_t[] = { {&_swigt__p_svn_version_ext_linked_lib_t, 0, 0, 0},{0, 0, 0, 0}};
+static swig_cast_info _swigc__p_svn_version_ext_loaded_lib_t[] = { {&_swigt__p_svn_version_ext_loaded_lib_t, 0, 0, 0},{0, 0, 0, 0}};
+static swig_cast_info _swigc__p_svn_version_extended_t[] = { {&_swigt__p_svn_version_extended_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_svn_version_t[] = { {&_swigt__p_svn_version_t, 0, 0, 0},{0, 0, 0, 0}};
+static swig_cast_info _swigc__p_svn_wc_external_item2_t[] = { {&_swigt__p_svn_wc_external_item2_t, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info _swigc__p_unsigned_long[] = { {&_swigt__p_unsigned_long, 0, 0, 0},{0, 0, 0, 0}};
static swig_cast_info *swig_cast_initial[] = {
+ _swigc__p_apr_array_header_t,
_swigc__p_apr_int32_t,
_swigc__p_apr_int64_t,
_swigc__p_char,
@@ -1837,6 +1864,9 @@ static swig_cast_info *swig_cast_initial[] = {
_swigc__p_svn_auth_provider_object_t,
_swigc__p_svn_auth_provider_t,
_swigc__p_svn_auth_ssl_server_cert_info_t,
+ _swigc__p_svn_checksum_ctx_t,
+ _swigc__p_svn_checksum_kind_t,
+ _swigc__p_svn_checksum_t,
_swigc__p_svn_commit_info_t,
_swigc__p_svn_config_t,
_swigc__p_svn_depth_t,
@@ -1871,6 +1901,7 @@ static swig_cast_info *swig_cast_initial[] = {
_swigc__p_svn_opt_subcommand_desc_t,
_swigc__p_svn_patch_file_t,
_swigc__p_svn_patch_t,
+ _swigc__p_svn_prop_inherited_item_t,
_swigc__p_svn_prop_kind,
_swigc__p_svn_prop_patch_t,
_swigc__p_svn_stream_mark_t,
@@ -1879,7 +1910,11 @@ static swig_cast_info *swig_cast_initial[] = {
_swigc__p_svn_stringbuf_t,
_swigc__p_svn_tristate_t,
_swigc__p_svn_version_checklist_t,
+ _swigc__p_svn_version_ext_linked_lib_t,
+ _swigc__p_svn_version_ext_loaded_lib_t,
+ _swigc__p_svn_version_extended_t,
_swigc__p_svn_version_t,
+ _swigc__p_svn_wc_external_item2_t,
_swigc__p_unsigned_long,
};
@@ -1957,8 +1992,6 @@ SWIG_InitializeModule(void *clientdata) {
swig_module_info *module_head, *iter;
int found, init;
- clientdata = clientdata;
-
/* check to see if the circular list has been setup, if not, set it up */
if (swig_module.next==0) {
/* Initialize the swig_module */
@@ -2149,25 +2182,30 @@ XS(SWIG_init) {
/* Install commands */
for (i = 0; swig_commands[i].name; i++) {
- newXS((char*) swig_commands[i].name,swig_commands[i].wrapper, (char*)__FILE__);
+ /* Casts only needed for Perl < 5.10. */
+#ifdef __cplusplus
+ newXS(const_cast<char*>(swig_commands[i].name), swig_commands[i].wrapper, const_cast<char*>(__FILE__));
+#else
+ newXS((char*)swig_commands[i].name, swig_commands[i].wrapper, (char*)__FILE__);
+#endif
}
/* Install variables */
for (i = 0; swig_variables[i].name; i++) {
SV *sv;
- sv = get_sv((char*) swig_variables[i].name, TRUE | 0x2 | GV_ADDMULTI);
+ sv = get_sv(swig_variables[i].name, TRUE | 0x2 | GV_ADDMULTI);
if (swig_variables[i].type) {
SWIG_MakePtr(sv,(void *)1, *swig_variables[i].type,0);
} else {
sv_setiv(sv,(IV) 0);
}
- swig_create_magic(sv, (char *) swig_variables[i].name, swig_variables[i].set, swig_variables[i].get);
+ swig_create_magic(sv, swig_variables[i].name, swig_variables[i].set, swig_variables[i].get);
}
/* Install constant */
for (i = 0; swig_constants[i].type; i++) {
SV *sv;
- sv = get_sv((char*)swig_constants[i].name, TRUE | 0x2 | GV_ADDMULTI);
+ sv = get_sv(swig_constants[i].name, TRUE | 0x2 | GV_ADDMULTI);
switch(swig_constants[i].type) {
case SWIG_INT:
sv_setiv(sv, (IV) swig_constants[i].lvalue);
@@ -2176,7 +2214,7 @@ XS(SWIG_init) {
sv_setnv(sv, (double) swig_constants[i].dvalue);
break;
case SWIG_STRING:
- sv_setpv(sv, (char *) swig_constants[i].pvalue);
+ sv_setpv(sv, (const char *) swig_constants[i].pvalue);
break;
case SWIG_POINTER:
SWIG_MakePtr(sv, swig_constants[i].pvalue, *(swig_constants[i].ptype),0);